Xemu [doxygen]  hyppo 0a42be3a057156924bc1b626a687bd6e27349c45 @ Sat 19 Mar 02:15:11 CET 2022
opcodes_dasm.c
Go to the documentation of this file.
1 
2 static const z80ex_opc_dasm dasm_base[0x100] = {
3 { "NOP" , 4 , 0 } /* 00 */,
4 { "LD BC,@" , 10 , 0 } /* 01 */,
5 { "LD (BC),A" , 7 , 0 } /* 02 */,
6 { "INC BC" , 6 , 0 } /* 03 */,
7 { "INC B" , 4 , 0 } /* 04 */,
8 { "DEC B" , 4 , 0 } /* 05 */,
9 { "LD B,#" , 7 , 0 } /* 06 */,
10 { "RLCA" , 4 , 0 } /* 07 */,
11 { "EX AF,AF'" , 4 , 0 } /* 08 */,
12 { "ADD HL,BC" , 11 , 0 } /* 09 */,
13 { "LD A,(BC)" , 7 , 0 } /* 0A */,
14 { "DEC BC" , 6 , 0 } /* 0B */,
15 { "INC C" , 4 , 0 } /* 0C */,
16 { "DEC C" , 4 , 0 } /* 0D */,
17 { "LD C,#" , 7 , 0 } /* 0E */,
18 { "RRCA" , 4 , 0 } /* 0F */,
19 { "DJNZ %" , 8 , 13 } /* 10 */,
20 { "LD DE,@" , 10 , 0 } /* 11 */,
21 { "LD (DE),A" , 7 , 0 } /* 12 */,
22 { "INC DE" , 6 , 0 } /* 13 */,
23 { "INC D" , 4 , 0 } /* 14 */,
24 { "DEC D" , 4 , 0 } /* 15 */,
25 { "LD D,#" , 7 , 0 } /* 16 */,
26 { "RLA" , 4 , 0 } /* 17 */,
27 { "JR %" , 12 , 0 } /* 18 */,
28 { "ADD HL,DE" , 11 , 0 } /* 19 */,
29 { "LD A,(DE)" , 7 , 0 } /* 1A */,
30 { "DEC DE" , 6 , 0 } /* 1B */,
31 { "INC E" , 4 , 0 } /* 1C */,
32 { "DEC E" , 4 , 0 } /* 1D */,
33 { "LD E,#" , 7 , 0 } /* 1E */,
34 { "RRA" , 4 , 0 } /* 1F */,
35 { "JR NZ,%" , 7 , 12 } /* 20 */,
36 { "LD HL,@" , 10 , 0 } /* 21 */,
37 { "LD (@),HL" , 16 , 0 } /* 22 */,
38 { "INC HL" , 6 , 0 } /* 23 */,
39 { "INC H" , 4 , 0 } /* 24 */,
40 { "DEC H" , 4 , 0 } /* 25 */,
41 { "LD H,#" , 7 , 0 } /* 26 */,
42 { "DAA" , 4 , 0 } /* 27 */,
43 { "JR Z,%" , 7 , 12 } /* 28 */,
44 { "ADD HL,HL" , 11 , 0 } /* 29 */,
45 { "LD HL,(@)" , 16 , 0 } /* 2A */,
46 { "DEC HL" , 6 , 0 } /* 2B */,
47 { "INC L" , 4 , 0 } /* 2C */,
48 { "DEC L" , 4 , 0 } /* 2D */,
49 { "LD L,#" , 7 , 0 } /* 2E */,
50 { "CPL" , 4 , 0 } /* 2F */,
51 { "JR NC,%" , 7 , 12 } /* 30 */,
52 { "LD SP,@" , 10 , 0 } /* 31 */,
53 { "LD (@),A" , 13 , 0 } /* 32 */,
54 { "INC SP" , 6 , 0 } /* 33 */,
55 { "INC (HL)" , 11 , 0 } /* 34 */,
56 { "DEC (HL)" , 11 , 0 } /* 35 */,
57 { "LD (HL),#" , 10 , 0 } /* 36 */,
58 { "SCF" , 4 , 0 } /* 37 */,
59 { "JR C,%" , 7 , 12 } /* 38 */,
60 { "ADD HL,SP" , 11 , 0 } /* 39 */,
61 { "LD A,(@)" , 13 , 0 } /* 3A */,
62 { "DEC SP" , 6 , 0 } /* 3B */,
63 { "INC A" , 4 , 0 } /* 3C */,
64 { "DEC A" , 4 , 0 } /* 3D */,
65 { "LD A,#" , 7 , 0 } /* 3E */,
66 { "CCF" , 4 , 0 } /* 3F */,
67 { "LD B,B" , 4 , 0 } /* 40 */,
68 { "LD B,C" , 4 , 0 } /* 41 */,
69 { "LD B,D" , 4 , 0 } /* 42 */,
70 { "LD B,E" , 4 , 0 } /* 43 */,
71 { "LD B,H" , 4 , 0 } /* 44 */,
72 { "LD B,L" , 4 , 0 } /* 45 */,
73 { "LD B,(HL)" , 7 , 0 } /* 46 */,
74 { "LD B,A" , 4 , 0 } /* 47 */,
75 { "LD C,B" , 4 , 0 } /* 48 */,
76 { "LD C,C" , 4 , 0 } /* 49 */,
77 { "LD C,D" , 4 , 0 } /* 4A */,
78 { "LD C,E" , 4 , 0 } /* 4B */,
79 { "LD C,H" , 4 , 0 } /* 4C */,
80 { "LD C,L" , 4 , 0 } /* 4D */,
81 { "LD C,(HL)" , 7 , 0 } /* 4E */,
82 { "LD C,A" , 4 , 0 } /* 4F */,
83 { "LD D,B" , 4 , 0 } /* 50 */,
84 { "LD D,C" , 4 , 0 } /* 51 */,
85 { "LD D,D" , 4 , 0 } /* 52 */,
86 { "LD D,E" , 4 , 0 } /* 53 */,
87 { "LD D,H" , 4 , 0 } /* 54 */,
88 { "LD D,L" , 4 , 0 } /* 55 */,
89 { "LD D,(HL)" , 7 , 0 } /* 56 */,
90 { "LD D,A" , 4 , 0 } /* 57 */,
91 { "LD E,B" , 4 , 0 } /* 58 */,
92 { "LD E,C" , 4 , 0 } /* 59 */,
93 { "LD E,D" , 4 , 0 } /* 5A */,
94 { "LD E,E" , 4 , 0 } /* 5B */,
95 { "LD E,H" , 4 , 0 } /* 5C */,
96 { "LD E,L" , 4 , 0 } /* 5D */,
97 { "LD E,(HL)" , 7 , 0 } /* 5E */,
98 { "LD E,A" , 4 , 0 } /* 5F */,
99 { "LD H,B" , 4 , 0 } /* 60 */,
100 { "LD H,C" , 4 , 0 } /* 61 */,
101 { "LD H,D" , 4 , 0 } /* 62 */,
102 { "LD H,E" , 4 , 0 } /* 63 */,
103 { "LD H,H" , 4 , 0 } /* 64 */,
104 { "LD H,L" , 4 , 0 } /* 65 */,
105 { "LD H,(HL)" , 7 , 0 } /* 66 */,
106 { "LD H,A" , 4 , 0 } /* 67 */,
107 { "LD L,B" , 4 , 0 } /* 68 */,
108 { "LD L,C" , 4 , 0 } /* 69 */,
109 { "LD L,D" , 4 , 0 } /* 6A */,
110 { "LD L,E" , 4 , 0 } /* 6B */,
111 { "LD L,H" , 4 , 0 } /* 6C */,
112 { "LD L,L" , 4 , 0 } /* 6D */,
113 { "LD L,(HL)" , 7 , 0 } /* 6E */,
114 { "LD L,A" , 4 , 0 } /* 6F */,
115 { "LD (HL),B" , 7 , 0 } /* 70 */,
116 { "LD (HL),C" , 7 , 0 } /* 71 */,
117 { "LD (HL),D" , 7 , 0 } /* 72 */,
118 { "LD (HL),E" , 7 , 0 } /* 73 */,
119 { "LD (HL),H" , 7 , 0 } /* 74 */,
120 { "LD (HL),L" , 7 , 0 } /* 75 */,
121 { "HALT" , 4 , 0 } /* 76 */,
122 { "LD (HL),A" , 7 , 0 } /* 77 */,
123 { "LD A,B" , 4 , 0 } /* 78 */,
124 { "LD A,C" , 4 , 0 } /* 79 */,
125 { "LD A,D" , 4 , 0 } /* 7A */,
126 { "LD A,E" , 4 , 0 } /* 7B */,
127 { "LD A,H" , 4 , 0 } /* 7C */,
128 { "LD A,L" , 4 , 0 } /* 7D */,
129 { "LD A,(HL)" , 7 , 0 } /* 7E */,
130 { "LD A,A" , 4 , 0 } /* 7F */,
131 { "ADD B" , 4 , 0 } /* 80 */,
132 { "ADD C" , 4 , 0 } /* 81 */,
133 { "ADD D" , 4 , 0 } /* 82 */,
134 { "ADD E" , 4 , 0 } /* 83 */,
135 { "ADD H" , 4 , 0 } /* 84 */,
136 { "ADD L" , 4 , 0 } /* 85 */,
137 { "ADD (HL)" , 7 , 0 } /* 86 */,
138 { "ADD A" , 4 , 0 } /* 87 */,
139 { "ADC B" , 4 , 0 } /* 88 */,
140 { "ADC C" , 4 , 0 } /* 89 */,
141 { "ADC D" , 4 , 0 } /* 8A */,
142 { "ADC E" , 4 , 0 } /* 8B */,
143 { "ADC H" , 4 , 0 } /* 8C */,
144 { "ADC L" , 4 , 0 } /* 8D */,
145 { "ADC (HL)" , 7 , 0 } /* 8E */,
146 { "ADC A" , 4 , 0 } /* 8F */,
147 { "SUB B" , 4 , 0 } /* 90 */,
148 { "SUB C" , 4 , 0 } /* 91 */,
149 { "SUB D" , 4 , 0 } /* 92 */,
150 { "SUB E" , 4 , 0 } /* 93 */,
151 { "SUB H" , 4 , 0 } /* 94 */,
152 { "SUB L" , 4 , 0 } /* 95 */,
153 { "SUB (HL)" , 7 , 0 } /* 96 */,
154 { "SUB A" , 4 , 0 } /* 97 */,
155 { "SBC B" , 4 , 0 } /* 98 */,
156 { "SBC C" , 4 , 0 } /* 99 */,
157 { "SBC D" , 4 , 0 } /* 9A */,
158 { "SBC E" , 4 , 0 } /* 9B */,
159 { "SBC H" , 4 , 0 } /* 9C */,
160 { "SBC L" , 4 , 0 } /* 9D */,
161 { "SBC (HL)" , 7 , 0 } /* 9E */,
162 { "SBC A" , 4 , 0 } /* 9F */,
163 { "AND B" , 4 , 0 } /* A0 */,
164 { "AND C" , 4 , 0 } /* A1 */,
165 { "AND D" , 4 , 0 } /* A2 */,
166 { "AND E" , 4 , 0 } /* A3 */,
167 { "AND H" , 4 , 0 } /* A4 */,
168 { "AND L" , 4 , 0 } /* A5 */,
169 { "AND (HL)" , 7 , 0 } /* A6 */,
170 { "AND A" , 4 , 0 } /* A7 */,
171 { "XOR B" , 4 , 0 } /* A8 */,
172 { "XOR C" , 4 , 0 } /* A9 */,
173 { "XOR D" , 4 , 0 } /* AA */,
174 { "XOR E" , 4 , 0 } /* AB */,
175 { "XOR H" , 4 , 0 } /* AC */,
176 { "XOR L" , 4 , 0 } /* AD */,
177 { "XOR (HL)" , 7 , 0 } /* AE */,
178 { "XOR A" , 4 , 0 } /* AF */,
179 { "OR B" , 4 , 0 } /* B0 */,
180 { "OR C" , 4 , 0 } /* B1 */,
181 { "OR D" , 4 , 0 } /* B2 */,
182 { "OR E" , 4 , 0 } /* B3 */,
183 { "OR H" , 4 , 0 } /* B4 */,
184 { "OR L" , 4 , 0 } /* B5 */,
185 { "OR (HL)" , 7 , 0 } /* B6 */,
186 { "OR A" , 4 , 0 } /* B7 */,
187 { "CP B" , 4 , 0 } /* B8 */,
188 { "CP C" , 4 , 0 } /* B9 */,
189 { "CP D" , 4 , 0 } /* BA */,
190 { "CP E" , 4 , 0 } /* BB */,
191 { "CP H" , 4 , 0 } /* BC */,
192 { "CP L" , 4 , 0 } /* BD */,
193 { "CP (HL)" , 7 , 0 } /* BE */,
194 { "CP A" , 4 , 0 } /* BF */,
195 { "RET NZ" , 5 , 11 } /* C0 */,
196 { "POP BC" , 10 , 0 } /* C1 */,
197 { "JP NZ,@" , 10 , 0 } /* C2 */,
198 { "JP @" , 10 , 0 } /* C3 */,
199 { "CALL NZ,@" , 10 , 17 } /* C4 */,
200 { "PUSH BC" , 11 , 0 } /* C5 */,
201 { "ADD #" , 7 , 0 } /* C6 */,
202 { "RST 00" , 11 , 0 } /* C7 */,
203 { "RET Z" , 5 , 11 } /* C8 */,
204 { "RET" , 10 , 0 } /* C9 */,
205 { "JP Z,@" , 10 , 0 } /* CA */,
206 { "shift CB" , 4 , 0 } /* CB */,
207 { "CALL Z,@" , 10 , 17 } /* CC */,
208 { "CALL @" , 17 , 0 } /* CD */,
209 { "ADC #" , 7 , 0 } /* CE */,
210 { "RST 08" , 11 , 0 } /* CF */,
211 { "RET NC" , 5 , 11 } /* D0 */,
212 { "POP DE" , 10 , 0 } /* D1 */,
213 { "JP NC,@" , 10 , 0 } /* D2 */,
214 { "OUT (#),A" , 11 , 0 } /* D3 */,
215 { "CALL NC,@" , 10 , 17 } /* D4 */,
216 { "PUSH DE" , 11 , 0 } /* D5 */,
217 { "SUB #" , 7 , 0 } /* D6 */,
218 { "RST 10" , 11 , 0 } /* D7 */,
219 { "RET C" , 5 , 11 } /* D8 */,
220 { "EXX" , 4 , 0 } /* D9 */,
221 { "JP C,@" , 10 , 0 } /* DA */,
222 { "IN A,(#)" , 11 , 0 } /* DB */,
223 { "CALL C,@" , 10 , 17 } /* DC */,
224 { "shift DD" , 0 , 0 } /* DD */,
225 { "SBC #" , 7 , 0 } /* DE */,
226 { "RST 18" , 11 , 0 } /* DF */,
227 { "RET PO" , 5 , 11 } /* E0 */,
228 { "POP HL" , 10 , 0 } /* E1 */,
229 { "JP PO,@" , 10 , 0 } /* E2 */,
230 { "EX (SP),HL" , 19 , 0 } /* E3 */,
231 { "CALL PO,@" , 10 , 17 } /* E4 */,
232 { "PUSH HL" , 11 , 0 } /* E5 */,
233 { "AND #" , 7 , 0 } /* E6 */,
234 { "RST 20" , 11 , 0 } /* E7 */,
235 { "RET PE" , 5 , 11 } /* E8 */,
236 { "JP HL" , 4 , 0 } /* E9 */,
237 { "JP PE,@" , 10 , 0 } /* EA */,
238 { "EX DE,HL" , 4 , 0 } /* EB */,
239 { "CALL PE,@" , 10 , 17 } /* EC */,
240 { "shift ED" , 0 , 0 } /* ED */,
241 { "XOR #" , 7 , 0 } /* EE */,
242 { "RST 28" , 11 , 0 } /* EF */,
243 { "RET P" , 5 , 11 } /* F0 */,
244 { "POP AF" , 10 , 0 } /* F1 */,
245 { "JP P,@" , 10 , 0 } /* F2 */,
246 { "DI" , 4 , 0 } /* F3 */,
247 { "CALL P,@" , 10 , 17 } /* F4 */,
248 { "PUSH AF" , 11 , 0 } /* F5 */,
249 { "OR #" , 7 , 0 } /* F6 */,
250 { "RST 30" , 11 , 0 } /* F7 */,
251 { "RET M" , 5 , 11 } /* F8 */,
252 { "LD SP,HL" , 6 , 0 } /* F9 */,
253 { "JP M,@" , 10 , 0 } /* FA */,
254 { "EI" , 4 , 0 } /* FB */,
255 { "CALL M,@" , 10 , 17 } /* FC */,
256 { "shift FD" , 4 , 0 } /* FD */,
257 { "CP #" , 7 , 0 } /* FE */,
258 { "RST 38" , 11 , 0 } /* FF */
259 
260 };
261 
262 
263 
264 static const z80ex_opc_dasm dasm_cb[0x100] = {
265 { "RLC B" , 8 , 0 } /* 00 */,
266 { "RLC C" , 8 , 0 } /* 01 */,
267 { "RLC D" , 8 , 0 } /* 02 */,
268 { "RLC E" , 8 , 0 } /* 03 */,
269 { "RLC H" , 8 , 0 } /* 04 */,
270 { "RLC L" , 8 , 0 } /* 05 */,
271 { "RLC (HL)" , 15 , 0 } /* 06 */,
272 { "RLC A" , 8 , 0 } /* 07 */,
273 { "RRC B" , 8 , 0 } /* 08 */,
274 { "RRC C" , 8 , 0 } /* 09 */,
275 { "RRC D" , 8 , 0 } /* 0A */,
276 { "RRC E" , 8 , 0 } /* 0B */,
277 { "RRC H" , 8 , 0 } /* 0C */,
278 { "RRC L" , 8 , 0 } /* 0D */,
279 { "RRC (HL)" , 15 , 0 } /* 0E */,
280 { "RRC A" , 8 , 0 } /* 0F */,
281 { "RL B" , 8 , 0 } /* 10 */,
282 { "RL C" , 8 , 0 } /* 11 */,
283 { "RL D" , 8 , 0 } /* 12 */,
284 { "RL E" , 8 , 0 } /* 13 */,
285 { "RL H" , 8 , 0 } /* 14 */,
286 { "RL L" , 8 , 0 } /* 15 */,
287 { "RL (HL)" , 15 , 0 } /* 16 */,
288 { "RL A" , 8 , 0 } /* 17 */,
289 { "RR B" , 8 , 0 } /* 18 */,
290 { "RR C" , 8 , 0 } /* 19 */,
291 { "RR D" , 8 , 0 } /* 1A */,
292 { "RR E" , 8 , 0 } /* 1B */,
293 { "RR H" , 8 , 0 } /* 1C */,
294 { "RR L" , 8 , 0 } /* 1D */,
295 { "RR (HL)" , 15 , 0 } /* 1E */,
296 { "RR A" , 8 , 0 } /* 1F */,
297 { "SLA B" , 8 , 0 } /* 20 */,
298 { "SLA C" , 8 , 0 } /* 21 */,
299 { "SLA D" , 8 , 0 } /* 22 */,
300 { "SLA E" , 8 , 0 } /* 23 */,
301 { "SLA H" , 8 , 0 } /* 24 */,
302 { "SLA L" , 8 , 0 } /* 25 */,
303 { "SLA (HL)" , 15 , 0 } /* 26 */,
304 { "SLA A" , 8 , 0 } /* 27 */,
305 { "SRA B" , 8 , 0 } /* 28 */,
306 { "SRA C" , 8 , 0 } /* 29 */,
307 { "SRA D" , 8 , 0 } /* 2A */,
308 { "SRA E" , 8 , 0 } /* 2B */,
309 { "SRA H" , 8 , 0 } /* 2C */,
310 { "SRA L" , 8 , 0 } /* 2D */,
311 { "SRA (HL)" , 15 , 0 } /* 2E */,
312 { "SRA A" , 8 , 0 } /* 2F */,
313 { "SLL B" , 8 , 0 } /* 30 */,
314 { "SLL C" , 8 , 0 } /* 31 */,
315 { "SLL D" , 8 , 0 } /* 32 */,
316 { "SLL E" , 8 , 0 } /* 33 */,
317 { "SLL H" , 8 , 0 } /* 34 */,
318 { "SLL L" , 8 , 0 } /* 35 */,
319 { "SLL (HL)" , 15 , 0 } /* 36 */,
320 { "SLL A" , 8 , 0 } /* 37 */,
321 { "SRL B" , 8 , 0 } /* 38 */,
322 { "SRL C" , 8 , 0 } /* 39 */,
323 { "SRL D" , 8 , 0 } /* 3A */,
324 { "SRL E" , 8 , 0 } /* 3B */,
325 { "SRL H" , 8 , 0 } /* 3C */,
326 { "SRL L" , 8 , 0 } /* 3D */,
327 { "SRL (HL)" , 15 , 0 } /* 3E */,
328 { "SRL A" , 8 , 0 } /* 3F */,
329 { "BIT 0,B" , 8 , 0 } /* 40 */,
330 { "BIT 0,C" , 8 , 0 } /* 41 */,
331 { "BIT 0,D" , 8 , 0 } /* 42 */,
332 { "BIT 0,E" , 8 , 0 } /* 43 */,
333 { "BIT 0,H" , 8 , 0 } /* 44 */,
334 { "BIT 0,L" , 8 , 0 } /* 45 */,
335 { "BIT 0,(HL)" , 12 , 0 } /* 46 */,
336 { "BIT 0,A" , 8 , 0 } /* 47 */,
337 { "BIT 1,B" , 8 , 0 } /* 48 */,
338 { "BIT 1,C" , 8 , 0 } /* 49 */,
339 { "BIT 1,D" , 8 , 0 } /* 4A */,
340 { "BIT 1,E" , 8 , 0 } /* 4B */,
341 { "BIT 1,H" , 8 , 0 } /* 4C */,
342 { "BIT 1,L" , 8 , 0 } /* 4D */,
343 { "BIT 1,(HL)" , 12 , 0 } /* 4E */,
344 { "BIT 1,A" , 8 , 0 } /* 4F */,
345 { "BIT 2,B" , 8 , 0 } /* 50 */,
346 { "BIT 2,C" , 8 , 0 } /* 51 */,
347 { "BIT 2,D" , 8 , 0 } /* 52 */,
348 { "BIT 2,E" , 8 , 0 } /* 53 */,
349 { "BIT 2,H" , 8 , 0 } /* 54 */,
350 { "BIT 2,L" , 8 , 0 } /* 55 */,
351 { "BIT 2,(HL)" , 12 , 0 } /* 56 */,
352 { "BIT 2,A" , 8 , 0 } /* 57 */,
353 { "BIT 3,B" , 8 , 0 } /* 58 */,
354 { "BIT 3,C" , 8 , 0 } /* 59 */,
355 { "BIT 3,D" , 8 , 0 } /* 5A */,
356 { "BIT 3,E" , 8 , 0 } /* 5B */,
357 { "BIT 3,H" , 8 , 0 } /* 5C */,
358 { "BIT 3,L" , 8 , 0 } /* 5D */,
359 { "BIT 3,(HL)" , 12 , 0 } /* 5E */,
360 { "BIT 3,A" , 8 , 0 } /* 5F */,
361 { "BIT 4,B" , 8 , 0 } /* 60 */,
362 { "BIT 4,C" , 8 , 0 } /* 61 */,
363 { "BIT 4,D" , 8 , 0 } /* 62 */,
364 { "BIT 4,E" , 8 , 0 } /* 63 */,
365 { "BIT 4,H" , 8 , 0 } /* 64 */,
366 { "BIT 4,L" , 8 , 0 } /* 65 */,
367 { "BIT 4,(HL)" , 12 , 0 } /* 66 */,
368 { "BIT 4,A" , 8 , 0 } /* 67 */,
369 { "BIT 5,B" , 8 , 0 } /* 68 */,
370 { "BIT 5,C" , 8 , 0 } /* 69 */,
371 { "BIT 5,D" , 8 , 0 } /* 6A */,
372 { "BIT 5,E" , 8 , 0 } /* 6B */,
373 { "BIT 5,H" , 8 , 0 } /* 6C */,
374 { "BIT 5,L" , 8 , 0 } /* 6D */,
375 { "BIT 5,(HL)" , 12 , 0 } /* 6E */,
376 { "BIT 5,A" , 8 , 0 } /* 6F */,
377 { "BIT 6,B" , 8 , 0 } /* 70 */,
378 { "BIT 6,C" , 8 , 0 } /* 71 */,
379 { "BIT 6,D" , 8 , 0 } /* 72 */,
380 { "BIT 6,E" , 8 , 0 } /* 73 */,
381 { "BIT 6,H" , 8 , 0 } /* 74 */,
382 { "BIT 6,L" , 8 , 0 } /* 75 */,
383 { "BIT 6,(HL)" , 12 , 0 } /* 76 */,
384 { "BIT 6,A" , 8 , 0 } /* 77 */,
385 { "BIT 7,B" , 8 , 0 } /* 78 */,
386 { "BIT 7,C" , 8 , 0 } /* 79 */,
387 { "BIT 7,D" , 8 , 0 } /* 7A */,
388 { "BIT 7,E" , 8 , 0 } /* 7B */,
389 { "BIT 7,H" , 8 , 0 } /* 7C */,
390 { "BIT 7,L" , 8 , 0 } /* 7D */,
391 { "BIT 7,(HL)" , 12 , 0 } /* 7E */,
392 { "BIT 7,A" , 8 , 0 } /* 7F */,
393 { "RES 0,B" , 8 , 0 } /* 80 */,
394 { "RES 0,C" , 8 , 0 } /* 81 */,
395 { "RES 0,D" , 8 , 0 } /* 82 */,
396 { "RES 0,E" , 8 , 0 } /* 83 */,
397 { "RES 0,H" , 8 , 0 } /* 84 */,
398 { "RES 0,L" , 8 , 0 } /* 85 */,
399 { "RES 0,(HL)" , 15 , 0 } /* 86 */,
400 { "RES 0,A" , 8 , 0 } /* 87 */,
401 { "RES 1,B" , 8 , 0 } /* 88 */,
402 { "RES 1,C" , 8 , 0 } /* 89 */,
403 { "RES 1,D" , 8 , 0 } /* 8A */,
404 { "RES 1,E" , 8 , 0 } /* 8B */,
405 { "RES 1,H" , 8 , 0 } /* 8C */,
406 { "RES 1,L" , 8 , 0 } /* 8D */,
407 { "RES 1,(HL)" , 15 , 0 } /* 8E */,
408 { "RES 1,A" , 8 , 0 } /* 8F */,
409 { "RES 2,B" , 8 , 0 } /* 90 */,
410 { "RES 2,C" , 8 , 0 } /* 91 */,
411 { "RES 2,D" , 8 , 0 } /* 92 */,
412 { "RES 2,E" , 8 , 0 } /* 93 */,
413 { "RES 2,H" , 8 , 0 } /* 94 */,
414 { "RES 2,L" , 8 , 0 } /* 95 */,
415 { "RES 2,(HL)" , 15 , 0 } /* 96 */,
416 { "RES 2,A" , 8 , 0 } /* 97 */,
417 { "RES 3,B" , 8 , 0 } /* 98 */,
418 { "RES 3,C" , 8 , 0 } /* 99 */,
419 { "RES 3,D" , 8 , 0 } /* 9A */,
420 { "RES 3,E" , 8 , 0 } /* 9B */,
421 { "RES 3,H" , 8 , 0 } /* 9C */,
422 { "RES 3,L" , 8 , 0 } /* 9D */,
423 { "RES 3,(HL)" , 15 , 0 } /* 9E */,
424 { "RES 3,A" , 8 , 0 } /* 9F */,
425 { "RES 4,B" , 8 , 0 } /* A0 */,
426 { "RES 4,C" , 8 , 0 } /* A1 */,
427 { "RES 4,D" , 8 , 0 } /* A2 */,
428 { "RES 4,E" , 8 , 0 } /* A3 */,
429 { "RES 4,H" , 8 , 0 } /* A4 */,
430 { "RES 4,L" , 8 , 0 } /* A5 */,
431 { "RES 4,(HL)" , 15 , 0 } /* A6 */,
432 { "RES 4,A" , 8 , 0 } /* A7 */,
433 { "RES 5,B" , 8 , 0 } /* A8 */,
434 { "RES 5,C" , 8 , 0 } /* A9 */,
435 { "RES 5,D" , 8 , 0 } /* AA */,
436 { "RES 5,E" , 8 , 0 } /* AB */,
437 { "RES 5,H" , 8 , 0 } /* AC */,
438 { "RES 5,L" , 8 , 0 } /* AD */,
439 { "RES 5,(HL)" , 15 , 0 } /* AE */,
440 { "RES 5,A" , 8 , 0 } /* AF */,
441 { "RES 6,B" , 8 , 0 } /* B0 */,
442 { "RES 6,C" , 8 , 0 } /* B1 */,
443 { "RES 6,D" , 8 , 0 } /* B2 */,
444 { "RES 6,E" , 8 , 0 } /* B3 */,
445 { "RES 6,H" , 8 , 0 } /* B4 */,
446 { "RES 6,L" , 8 , 0 } /* B5 */,
447 { "RES 6,(HL)" , 15 , 0 } /* B6 */,
448 { "RES 6,A" , 8 , 0 } /* B7 */,
449 { "RES 7,B" , 8 , 0 } /* B8 */,
450 { "RES 7,C" , 8 , 0 } /* B9 */,
451 { "RES 7,D" , 8 , 0 } /* BA */,
452 { "RES 7,E" , 8 , 0 } /* BB */,
453 { "RES 7,H" , 8 , 0 } /* BC */,
454 { "RES 7,L" , 8 , 0 } /* BD */,
455 { "RES 7,(HL)" , 15 , 0 } /* BE */,
456 { "RES 7,A" , 8 , 0 } /* BF */,
457 { "SET 0,B" , 8 , 0 } /* C0 */,
458 { "SET 0,C" , 8 , 0 } /* C1 */,
459 { "SET 0,D" , 8 , 0 } /* C2 */,
460 { "SET 0,E" , 8 , 0 } /* C3 */,
461 { "SET 0,H" , 8 , 0 } /* C4 */,
462 { "SET 0,L" , 8 , 0 } /* C5 */,
463 { "SET 0,(HL)" , 15 , 0 } /* C6 */,
464 { "SET 0,A" , 8 , 0 } /* C7 */,
465 { "SET 1,B" , 8 , 0 } /* C8 */,
466 { "SET 1,C" , 8 , 0 } /* C9 */,
467 { "SET 1,D" , 8 , 0 } /* CA */,
468 { "SET 1,E" , 8 , 0 } /* CB */,
469 { "SET 1,H" , 8 , 0 } /* CC */,
470 { "SET 1,L" , 8 , 0 } /* CD */,
471 { "SET 1,(HL)" , 15 , 0 } /* CE */,
472 { "SET 1,A" , 8 , 0 } /* CF */,
473 { "SET 2,B" , 8 , 0 } /* D0 */,
474 { "SET 2,C" , 8 , 0 } /* D1 */,
475 { "SET 2,D" , 8 , 0 } /* D2 */,
476 { "SET 2,E" , 8 , 0 } /* D3 */,
477 { "SET 2,H" , 8 , 0 } /* D4 */,
478 { "SET 2,L" , 8 , 0 } /* D5 */,
479 { "SET 2,(HL)" , 15 , 0 } /* D6 */,
480 { "SET 2,A" , 8 , 0 } /* D7 */,
481 { "SET 3,B" , 8 , 0 } /* D8 */,
482 { "SET 3,C" , 8 , 0 } /* D9 */,
483 { "SET 3,D" , 8 , 0 } /* DA */,
484 { "SET 3,E" , 8 , 0 } /* DB */,
485 { "SET 3,H" , 8 , 0 } /* DC */,
486 { "SET 3,L" , 8 , 0 } /* DD */,
487 { "SET 3,(HL)" , 15 , 0 } /* DE */,
488 { "SET 3,A" , 8 , 0 } /* DF */,
489 { "SET 4,B" , 8 , 0 } /* E0 */,
490 { "SET 4,C" , 8 , 0 } /* E1 */,
491 { "SET 4,D" , 8 , 0 } /* E2 */,
492 { "SET 4,E" , 8 , 0 } /* E3 */,
493 { "SET 4,H" , 8 , 0 } /* E4 */,
494 { "SET 4,L" , 8 , 0 } /* E5 */,
495 { "SET 4,(HL)" , 15 , 0 } /* E6 */,
496 { "SET 4,A" , 8 , 0 } /* E7 */,
497 { "SET 5,B" , 8 , 0 } /* E8 */,
498 { "SET 5,C" , 8 , 0 } /* E9 */,
499 { "SET 5,D" , 8 , 0 } /* EA */,
500 { "SET 5,E" , 8 , 0 } /* EB */,
501 { "SET 5,H" , 8 , 0 } /* EC */,
502 { "SET 5,L" , 8 , 0 } /* ED */,
503 { "SET 5,(HL)" , 15 , 0 } /* EE */,
504 { "SET 5,A" , 8 , 0 } /* EF */,
505 { "SET 6,B" , 8 , 0 } /* F0 */,
506 { "SET 6,C" , 8 , 0 } /* F1 */,
507 { "SET 6,D" , 8 , 0 } /* F2 */,
508 { "SET 6,E" , 8 , 0 } /* F3 */,
509 { "SET 6,H" , 8 , 0 } /* F4 */,
510 { "SET 6,L" , 8 , 0 } /* F5 */,
511 { "SET 6,(HL)" , 15 , 0 } /* F6 */,
512 { "SET 6,A" , 8 , 0 } /* F7 */,
513 { "SET 7,B" , 8 , 0 } /* F8 */,
514 { "SET 7,C" , 8 , 0 } /* F9 */,
515 { "SET 7,D" , 8 , 0 } /* FA */,
516 { "SET 7,E" , 8 , 0 } /* FB */,
517 { "SET 7,H" , 8 , 0 } /* FC */,
518 { "SET 7,L" , 8 , 0 } /* FD */,
519 { "SET 7,(HL)" , 15 , 0 } /* FE */,
520 { "SET 7,A" , 8 , 0 } /* FF */
521 
522 };
523 
524 
525 
526 static const z80ex_opc_dasm dasm_ed[0x100] = {
527 { NULL , 0 , 0 } /* 00 */,
528 { NULL , 0 , 0 } /* 01 */,
529 { NULL , 0 , 0 } /* 02 */,
530 { NULL , 0 , 0 } /* 03 */,
531 { NULL , 0 , 0 } /* 04 */,
532 { NULL , 0 , 0 } /* 05 */,
533 { NULL , 0 , 0 } /* 06 */,
534 { NULL , 0 , 0 } /* 07 */,
535 { NULL , 0 , 0 } /* 08 */,
536 { NULL , 0 , 0 } /* 09 */,
537 { NULL , 0 , 0 } /* 0A */,
538 { NULL , 0 , 0 } /* 0B */,
539 { NULL , 0 , 0 } /* 0C */,
540 { NULL , 0 , 0 } /* 0D */,
541 { NULL , 0 , 0 } /* 0E */,
542 { NULL , 0 , 0 } /* 0F */,
543 { NULL , 0 , 0 } /* 10 */,
544 { NULL , 0 , 0 } /* 11 */,
545 { NULL , 0 , 0 } /* 12 */,
546 { NULL , 0 , 0 } /* 13 */,
547 { NULL , 0 , 0 } /* 14 */,
548 { NULL , 0 , 0 } /* 15 */,
549 { NULL , 0 , 0 } /* 16 */,
550 { NULL , 0 , 0 } /* 17 */,
551 { NULL , 0 , 0 } /* 18 */,
552 { NULL , 0 , 0 } /* 19 */,
553 { NULL , 0 , 0 } /* 1A */,
554 { NULL , 0 , 0 } /* 1B */,
555 { NULL , 0 , 0 } /* 1C */,
556 { NULL , 0 , 0 } /* 1D */,
557 { NULL , 0 , 0 } /* 1E */,
558 { NULL , 0 , 0 } /* 1F */,
559 { NULL , 0 , 0 } /* 20 */,
560 { NULL , 0 , 0 } /* 21 */,
561 { NULL , 0 , 0 } /* 22 */,
562 { NULL , 0 , 0 } /* 23 */,
563 { NULL , 0 , 0 } /* 24 */,
564 { NULL , 0 , 0 } /* 25 */,
565 { NULL , 0 , 0 } /* 26 */,
566 { NULL , 0 , 0 } /* 27 */,
567 { NULL , 0 , 0 } /* 28 */,
568 { NULL , 0 , 0 } /* 29 */,
569 { NULL , 0 , 0 } /* 2A */,
570 { NULL , 0 , 0 } /* 2B */,
571 { NULL , 0 , 0 } /* 2C */,
572 { NULL , 0 , 0 } /* 2D */,
573 { NULL , 0 , 0 } /* 2E */,
574 { NULL , 0 , 0 } /* 2F */,
575 { NULL , 0 , 0 } /* 30 */,
576 { NULL , 0 , 0 } /* 31 */,
577 { NULL , 0 , 0 } /* 32 */,
578 { NULL , 0 , 0 } /* 33 */,
579 { NULL , 0 , 0 } /* 34 */,
580 { NULL , 0 , 0 } /* 35 */,
581 { NULL , 0 , 0 } /* 36 */,
582 { NULL , 0 , 0 } /* 37 */,
583 { NULL , 0 , 0 } /* 38 */,
584 { NULL , 0 , 0 } /* 39 */,
585 { NULL , 0 , 0 } /* 3A */,
586 { NULL , 0 , 0 } /* 3B */,
587 { NULL , 0 , 0 } /* 3C */,
588 { NULL , 0 , 0 } /* 3D */,
589 { NULL , 0 , 0 } /* 3E */,
590 { NULL , 0 , 0 } /* 3F */,
591 { "IN B,(C)" , 12 , 0 } /* 40 */,
592 { "OUT (C),B" , 12 , 0 } /* 41 */,
593 { "SBC HL,BC" , 15 , 0 } /* 42 */,
594 { "LD (@),BC" , 20 , 0 } /* 43 */,
595 { "NEG" , 8 , 0 } /* 44 */,
596 { "RETN" , 14 , 0 } /* 45 */,
597 { "IM 0" , 8 , 0 } /* 46 */,
598 { "LD I,A" , 9 , 0 } /* 47 */,
599 { "IN C,(C)" , 12 , 0 } /* 48 */,
600 { "OUT (C),C" , 12 , 0 } /* 49 */,
601 { "ADC HL,BC" , 15 , 0 } /* 4A */,
602 { "LD BC,(@)" , 20 , 0 } /* 4B */,
603 { "NEG" , 8 , 0 } /* 4C */,
604 { "RETI" , 14 , 0 } /* 4D */,
605 { "IM 0" , 8 , 0 } /* 4E */,
606 { "LD_R_A" , 9 , 0 } /* 4F */,
607 { "IN D,(C)" , 12 , 0 } /* 50 */,
608 { "OUT (C),D" , 12 , 0 } /* 51 */,
609 { "SBC HL,DE" , 15 , 0 } /* 52 */,
610 { "LD (@),DE" , 20 , 0 } /* 53 */,
611 { "NEG" , 8 , 0 } /* 54 */,
612 { "RETN" , 14 , 0 } /* 55 */,
613 { "IM 1" , 8 , 0 } /* 56 */,
614 { "LD_A_I" , 9 , 0 } /* 57 */,
615 { "IN E,(C)" , 12 , 0 } /* 58 */,
616 { "OUT (C),E" , 12 , 0 } /* 59 */,
617 { "ADC HL,DE" , 15 , 0 } /* 5A */,
618 { "LD DE,(@)" , 20 , 0 } /* 5B */,
619 { "NEG" , 8 , 0 } /* 5C */,
620 { "RETI" , 14 , 0 } /* 5D */,
621 { "IM 2" , 8 , 0 } /* 5E */,
622 { "LD_A_R" , 9 , 0 } /* 5F */,
623 { "IN H,(C)" , 12 , 0 } /* 60 */,
624 { "OUT (C),H" , 12 , 0 } /* 61 */,
625 { "SBC HL,HL" , 15 , 0 } /* 62 */,
626 { "LD (@),HL" , 20 , 0 } /* 63 */,
627 { "NEG" , 8 , 0 } /* 64 */,
628 { "RETN" , 14 , 0 } /* 65 */,
629 { "IM 0" , 8 , 0 } /* 66 */,
630 { "RRD" , 18 , 0 } /* 67 */,
631 { "IN L,(C)" , 12 , 0 } /* 68 */,
632 { "OUT (C),L" , 12 , 0 } /* 69 */,
633 { "ADC HL,HL" , 15 , 0 } /* 6A */,
634 { "LD HL,(@)" , 20 , 0 } /* 6B */,
635 { "NEG" , 8 , 0 } /* 6C */,
636 { "RETI" , 14 , 0 } /* 6D */,
637 { "IM 0" , 8 , 0 } /* 6E */,
638 { "RLD" , 18 , 0 } /* 6F */,
639 { "IN_F (C)" , 12 , 0 } /* 70 */,
640 { "OUT (C),0" , 12 , 0 } /* 71 */,
641 { "SBC HL,SP" , 15 , 0 } /* 72 */,
642 { "LD (@),SP" , 20 , 0 } /* 73 */,
643 { "NEG" , 8 , 0 } /* 74 */,
644 { "RETN" , 14 , 0 } /* 75 */,
645 { "IM 1" , 8 , 0 } /* 76 */,
646 { NULL , 0 , 0 } /* 77 */,
647 { "IN A,(C)" , 12 , 0 } /* 78 */,
648 { "OUT (C),A" , 12 , 0 } /* 79 */,
649 { "ADC HL,SP" , 15 , 0 } /* 7A */,
650 { "LD SP,(@)" , 20 , 0 } /* 7B */,
651 { "NEG" , 8 , 0 } /* 7C */,
652 { "RETI" , 14 , 0 } /* 7D */,
653 { "IM 2" , 8 , 0 } /* 7E */,
654 { NULL , 0 , 0 } /* 7F */,
655 { NULL , 0 , 0 } /* 80 */,
656 { NULL , 0 , 0 } /* 81 */,
657 { NULL , 0 , 0 } /* 82 */,
658 { NULL , 0 , 0 } /* 83 */,
659 { NULL , 0 , 0 } /* 84 */,
660 { NULL , 0 , 0 } /* 85 */,
661 { NULL , 0 , 0 } /* 86 */,
662 { NULL , 0 , 0 } /* 87 */,
663 { NULL , 0 , 0 } /* 88 */,
664 { NULL , 0 , 0 } /* 89 */,
665 { NULL , 0 , 0 } /* 8A */,
666 { NULL , 0 , 0 } /* 8B */,
667 { NULL , 0 , 0 } /* 8C */,
668 { NULL , 0 , 0 } /* 8D */,
669 { NULL , 0 , 0 } /* 8E */,
670 { NULL , 0 , 0 } /* 8F */,
671 { NULL , 0 , 0 } /* 90 */,
672 { NULL , 0 , 0 } /* 91 */,
673 { NULL , 0 , 0 } /* 92 */,
674 { NULL , 0 , 0 } /* 93 */,
675 { NULL , 0 , 0 } /* 94 */,
676 { NULL , 0 , 0 } /* 95 */,
677 { NULL , 0 , 0 } /* 96 */,
678 { NULL , 0 , 0 } /* 97 */,
679 { NULL , 0 , 0 } /* 98 */,
680 { NULL , 0 , 0 } /* 99 */,
681 { NULL , 0 , 0 } /* 9A */,
682 { NULL , 0 , 0 } /* 9B */,
683 { NULL , 0 , 0 } /* 9C */,
684 { NULL , 0 , 0 } /* 9D */,
685 { NULL , 0 , 0 } /* 9E */,
686 { NULL , 0 , 0 } /* 9F */,
687 { "LDI" , 16 , 0 } /* A0 */,
688 { "CPI" , 16 , 0 } /* A1 */,
689 { "INI" , 16 , 0 } /* A2 */,
690 { "OUTI" , 16 , 0 } /* A3 */,
691 { NULL , 0 , 0 } /* A4 */,
692 { NULL , 0 , 0 } /* A5 */,
693 { NULL , 0 , 0 } /* A6 */,
694 { NULL , 0 , 0 } /* A7 */,
695 { "LDD" , 16 , 0 } /* A8 */,
696 { "CPD" , 16 , 0 } /* A9 */,
697 { "IND" , 16 , 0 } /* AA */,
698 { "OUTD" , 16 , 0 } /* AB */,
699 { NULL , 0 , 0 } /* AC */,
700 { NULL , 0 , 0 } /* AD */,
701 { NULL , 0 , 0 } /* AE */,
702 { NULL , 0 , 0 } /* AF */,
703 { "LDIR" , 16 , 21 } /* B0 */,
704 { "CPIR" , 16 , 21 } /* B1 */,
705 { "INIR" , 16 , 21 } /* B2 */,
706 { "OTIR" , 16 , 21 } /* B3 */,
707 { NULL , 0 , 0 } /* B4 */,
708 { NULL , 0 , 0 } /* B5 */,
709 { NULL , 0 , 0 } /* B6 */,
710 { NULL , 0 , 0 } /* B7 */,
711 { "LDDR" , 16 , 21 } /* B8 */,
712 { "CPDR" , 16 , 21 } /* B9 */,
713 { "INDR" , 16 , 21 } /* BA */,
714 { "OTDR" , 16 , 21 } /* BB */,
715 { NULL , 0 , 0 } /* BC */,
716 { NULL , 0 , 0 } /* BD */,
717 { NULL , 0 , 0 } /* BE */,
718 { NULL , 0 , 0 } /* BF */,
719 { NULL , 0 , 0 } /* C0 */,
720 { NULL , 0 , 0 } /* C1 */,
721 { NULL , 0 , 0 } /* C2 */,
722 { NULL , 0 , 0 } /* C3 */,
723 { NULL , 0 , 0 } /* C4 */,
724 { NULL , 0 , 0 } /* C5 */,
725 { NULL , 0 , 0 } /* C6 */,
726 { NULL , 0 , 0 } /* C7 */,
727 { NULL , 0 , 0 } /* C8 */,
728 { NULL , 0 , 0 } /* C9 */,
729 { NULL , 0 , 0 } /* CA */,
730 { NULL , 0 , 0 } /* CB */,
731 { NULL , 0 , 0 } /* CC */,
732 { NULL , 0 , 0 } /* CD */,
733 { NULL , 0 , 0 } /* CE */,
734 { NULL , 0 , 0 } /* CF */,
735 { NULL , 0 , 0 } /* D0 */,
736 { NULL , 0 , 0 } /* D1 */,
737 { NULL , 0 , 0 } /* D2 */,
738 { NULL , 0 , 0 } /* D3 */,
739 { NULL , 0 , 0 } /* D4 */,
740 { NULL , 0 , 0 } /* D5 */,
741 { NULL , 0 , 0 } /* D6 */,
742 { NULL , 0 , 0 } /* D7 */,
743 { NULL , 0 , 0 } /* D8 */,
744 { NULL , 0 , 0 } /* D9 */,
745 { NULL , 0 , 0 } /* DA */,
746 { NULL , 0 , 0 } /* DB */,
747 { NULL , 0 , 0 } /* DC */,
748 { NULL , 0 , 0 } /* DD */,
749 { NULL , 0 , 0 } /* DE */,
750 { NULL , 0 , 0 } /* DF */,
751 { NULL , 0 , 0 } /* E0 */,
752 { NULL , 0 , 0 } /* E1 */,
753 { NULL , 0 , 0 } /* E2 */,
754 { NULL , 0 , 0 } /* E3 */,
755 { NULL , 0 , 0 } /* E4 */,
756 { NULL , 0 , 0 } /* E5 */,
757 { NULL , 0 , 0 } /* E6 */,
758 { NULL , 0 , 0 } /* E7 */,
759 { NULL , 0 , 0 } /* E8 */,
760 { NULL , 0 , 0 } /* E9 */,
761 { NULL , 0 , 0 } /* EA */,
762 { NULL , 0 , 0 } /* EB */,
763 { NULL , 0 , 0 } /* EC */,
764 { NULL , 0 , 0 } /* ED */,
765 { NULL , 0 , 0 } /* EE */,
766 { NULL , 0 , 0 } /* EF */,
767 { NULL , 0 , 0 } /* F0 */,
768 { NULL , 0 , 0 } /* F1 */,
769 { NULL , 0 , 0 } /* F2 */,
770 { NULL , 0 , 0 } /* F3 */,
771 { NULL , 0 , 0 } /* F4 */,
772 { NULL , 0 , 0 } /* F5 */,
773 { NULL , 0 , 0 } /* F6 */,
774 { NULL , 0 , 0 } /* F7 */,
775 { NULL , 0 , 0 } /* F8 */,
776 { NULL , 0 , 0 } /* F9 */,
777 { NULL , 0 , 0 } /* FA */,
778 { NULL , 0 , 0 } /* FB */,
779 { NULL , 0 , 0 } /* FC */,
780 { NULL , 0 , 0 } /* FD */,
781 { NULL , 0 , 0 } /* FE */,
782 { NULL , 0 , 0 } /* FF */
783 
784 };
785 
786 
787 
788 static const z80ex_opc_dasm dasm_dd[0x100] = {
789 { NULL , 0 , 0 } /* 00 */,
790 { NULL , 0 , 0 } /* 01 */,
791 { NULL , 0 , 0 } /* 02 */,
792 { NULL , 0 , 0 } /* 03 */,
793 { NULL , 0 , 0 } /* 04 */,
794 { NULL , 0 , 0 } /* 05 */,
795 { NULL , 0 , 0 } /* 06 */,
796 { NULL , 0 , 0 } /* 07 */,
797 { NULL , 0 , 0 } /* 08 */,
798 { "ADD IX,BC" , 15 , 0 } /* 09 */,
799 { NULL , 0 , 0 } /* 0A */,
800 { NULL , 0 , 0 } /* 0B */,
801 { NULL , 0 , 0 } /* 0C */,
802 { NULL , 0 , 0 } /* 0D */,
803 { NULL , 0 , 0 } /* 0E */,
804 { NULL , 0 , 0 } /* 0F */,
805 { NULL , 0 , 0 } /* 10 */,
806 { NULL , 0 , 0 } /* 11 */,
807 { NULL , 0 , 0 } /* 12 */,
808 { NULL , 0 , 0 } /* 13 */,
809 { NULL , 0 , 0 } /* 14 */,
810 { NULL , 0 , 0 } /* 15 */,
811 { NULL , 0 , 0 } /* 16 */,
812 { NULL , 0 , 0 } /* 17 */,
813 { NULL , 0 , 0 } /* 18 */,
814 { "ADD IX,DE" , 15 , 0 } /* 19 */,
815 { NULL , 0 , 0 } /* 1A */,
816 { NULL , 0 , 0 } /* 1B */,
817 { NULL , 0 , 0 } /* 1C */,
818 { NULL , 0 , 0 } /* 1D */,
819 { NULL , 0 , 0 } /* 1E */,
820 { NULL , 0 , 0 } /* 1F */,
821 { NULL , 0 , 0 } /* 20 */,
822 { "LD IX,@" , 14 , 0 } /* 21 */,
823 { "LD (@),IX" , 20 , 0 } /* 22 */,
824 { "INC IX" , 10 , 0 } /* 23 */,
825 { "INC IXH" , 8 , 0 } /* 24 */,
826 { "DEC IXH" , 8 , 0 } /* 25 */,
827 { "LD IXH,#" , 11 , 0 } /* 26 */,
828 { NULL , 0 , 0 } /* 27 */,
829 { NULL , 0 , 0 } /* 28 */,
830 { "ADD IX,IX" , 15 , 0 } /* 29 */,
831 { "LD IX,(@)" , 20 , 0 } /* 2A */,
832 { "DEC IX" , 10 , 0 } /* 2B */,
833 { "INC IXL" , 8 , 0 } /* 2C */,
834 { "DEC IXL" , 8 , 0 } /* 2D */,
835 { "LD IXL,#" , 11 , 0 } /* 2E */,
836 { NULL , 0 , 0 } /* 2F */,
837 { NULL , 0 , 0 } /* 30 */,
838 { NULL , 0 , 0 } /* 31 */,
839 { NULL , 0 , 0 } /* 32 */,
840 { NULL , 0 , 0 } /* 33 */,
841 { "INC (IX+$)" , 23 , 0 } /* 34 */,
842 { "DEC (IX+$)" , 23 , 0 } /* 35 */,
843 { "LD (IX+$),#" , 19 , 0 } /* 36 */,
844 { NULL , 0 , 0 } /* 37 */,
845 { NULL , 0 , 0 } /* 38 */,
846 { "ADD IX,SP" , 15 , 0 } /* 39 */,
847 { NULL , 0 , 0 } /* 3A */,
848 { NULL , 0 , 0 } /* 3B */,
849 { NULL , 0 , 0 } /* 3C */,
850 { NULL , 0 , 0 } /* 3D */,
851 { NULL , 0 , 0 } /* 3E */,
852 { NULL , 0 , 0 } /* 3F */,
853 { NULL , 0 , 0 } /* 40 */,
854 { NULL , 0 , 0 } /* 41 */,
855 { NULL , 0 , 0 } /* 42 */,
856 { NULL , 0 , 0 } /* 43 */,
857 { "LD B,IXH" , 8 , 0 } /* 44 */,
858 { "LD B,IXL" , 8 , 0 } /* 45 */,
859 { "LD B,(IX+$)" , 19 , 0 } /* 46 */,
860 { NULL , 0 , 0 } /* 47 */,
861 { NULL , 0 , 0 } /* 48 */,
862 { NULL , 0 , 0 } /* 49 */,
863 { NULL , 0 , 0 } /* 4A */,
864 { NULL , 0 , 0 } /* 4B */,
865 { "LD C,IXH" , 8 , 0 } /* 4C */,
866 { "LD C,IXL" , 8 , 0 } /* 4D */,
867 { "LD C,(IX+$)" , 19 , 0 } /* 4E */,
868 { NULL , 0 , 0 } /* 4F */,
869 { NULL , 0 , 0 } /* 50 */,
870 { NULL , 0 , 0 } /* 51 */,
871 { NULL , 0 , 0 } /* 52 */,
872 { NULL , 0 , 0 } /* 53 */,
873 { "LD D,IXH" , 8 , 0 } /* 54 */,
874 { "LD D,IXL" , 8 , 0 } /* 55 */,
875 { "LD D,(IX+$)" , 19 , 0 } /* 56 */,
876 { NULL , 0 , 0 } /* 57 */,
877 { NULL , 0 , 0 } /* 58 */,
878 { NULL , 0 , 0 } /* 59 */,
879 { NULL , 0 , 0 } /* 5A */,
880 { NULL , 0 , 0 } /* 5B */,
881 { "LD E,IXH" , 8 , 0 } /* 5C */,
882 { "LD E,IXL" , 8 , 0 } /* 5D */,
883 { "LD E,(IX+$)" , 19 , 0 } /* 5E */,
884 { NULL , 0 , 0 } /* 5F */,
885 { "LD IXH,B" , 8 , 0 } /* 60 */,
886 { "LD IXH,C" , 8 , 0 } /* 61 */,
887 { "LD IXH,D" , 8 , 0 } /* 62 */,
888 { "LD IXH,E" , 8 , 0 } /* 63 */,
889 { "LD IXH,IXH" , 8 , 0 } /* 64 */,
890 { "LD IXH,IXL" , 8 , 0 } /* 65 */,
891 { "LD H,(IX+$)" , 19 , 0 } /* 66 */,
892 { "LD IXH,A" , 8 , 0 } /* 67 */,
893 { "LD IXL,B" , 8 , 0 } /* 68 */,
894 { "LD IXL,C" , 8 , 0 } /* 69 */,
895 { "LD IXL,D" , 8 , 0 } /* 6A */,
896 { "LD IXL,E" , 8 , 0 } /* 6B */,
897 { "LD IXL,IXH" , 8 , 0 } /* 6C */,
898 { "LD IXL,IXL" , 8 , 0 } /* 6D */,
899 { "LD L,(IX+$)" , 19 , 0 } /* 6E */,
900 { "LD IXL,A" , 8 , 0 } /* 6F */,
901 { "LD (IX+$),B" , 19 , 0 } /* 70 */,
902 { "LD (IX+$),C" , 19 , 0 } /* 71 */,
903 { "LD (IX+$),D" , 19 , 0 } /* 72 */,
904 { "LD (IX+$),E" , 19 , 0 } /* 73 */,
905 { "LD (IX+$),H" , 19 , 0 } /* 74 */,
906 { "LD (IX+$),L" , 19 , 0 } /* 75 */,
907 { NULL , 0 , 0 } /* 76 */,
908 { "LD (IX+$),A" , 19 , 0 } /* 77 */,
909 { NULL , 0 , 0 } /* 78 */,
910 { NULL , 0 , 0 } /* 79 */,
911 { NULL , 0 , 0 } /* 7A */,
912 { NULL , 0 , 0 } /* 7B */,
913 { "LD A,IXH" , 8 , 0 } /* 7C */,
914 { "LD A,IXL" , 8 , 0 } /* 7D */,
915 { "LD A,(IX+$)" , 19 , 0 } /* 7E */,
916 { NULL , 0 , 0 } /* 7F */,
917 { NULL , 0 , 0 } /* 80 */,
918 { NULL , 0 , 0 } /* 81 */,
919 { NULL , 0 , 0 } /* 82 */,
920 { NULL , 0 , 0 } /* 83 */,
921 { "ADD IXH" , 8 , 0 } /* 84 */,
922 { "ADD IXL" , 8 , 0 } /* 85 */,
923 { "ADD (IX+$)" , 19 , 0 } /* 86 */,
924 { NULL , 0 , 0 } /* 87 */,
925 { NULL , 0 , 0 } /* 88 */,
926 { NULL , 0 , 0 } /* 89 */,
927 { NULL , 0 , 0 } /* 8A */,
928 { NULL , 0 , 0 } /* 8B */,
929 { "ADC IXH" , 8 , 0 } /* 8C */,
930 { "ADC IXL" , 8 , 0 } /* 8D */,
931 { "ADC (IX+$)" , 19 , 0 } /* 8E */,
932 { NULL , 0 , 0 } /* 8F */,
933 { NULL , 0 , 0 } /* 90 */,
934 { NULL , 0 , 0 } /* 91 */,
935 { NULL , 0 , 0 } /* 92 */,
936 { NULL , 0 , 0 } /* 93 */,
937 { "SUB IXH" , 8 , 0 } /* 94 */,
938 { "SUB IXL" , 8 , 0 } /* 95 */,
939 { "SUB (IX+$)" , 19 , 0 } /* 96 */,
940 { NULL , 0 , 0 } /* 97 */,
941 { NULL , 0 , 0 } /* 98 */,
942 { NULL , 0 , 0 } /* 99 */,
943 { NULL , 0 , 0 } /* 9A */,
944 { NULL , 0 , 0 } /* 9B */,
945 { "SBC IXH" , 8 , 0 } /* 9C */,
946 { "SBC IXL" , 8 , 0 } /* 9D */,
947 { "SBC (IX+$)" , 19 , 0 } /* 9E */,
948 { NULL , 0 , 0 } /* 9F */,
949 { NULL , 0 , 0 } /* A0 */,
950 { NULL , 0 , 0 } /* A1 */,
951 { NULL , 0 , 0 } /* A2 */,
952 { NULL , 0 , 0 } /* A3 */,
953 { "AND IXH" , 8 , 0 } /* A4 */,
954 { "AND IXL" , 8 , 0 } /* A5 */,
955 { "AND (IX+$)" , 19 , 0 } /* A6 */,
956 { NULL , 0 , 0 } /* A7 */,
957 { NULL , 0 , 0 } /* A8 */,
958 { NULL , 0 , 0 } /* A9 */,
959 { NULL , 0 , 0 } /* AA */,
960 { NULL , 0 , 0 } /* AB */,
961 { "XOR IXH" , 8 , 0 } /* AC */,
962 { "XOR IXL" , 8 , 0 } /* AD */,
963 { "XOR (IX+$)" , 19 , 0 } /* AE */,
964 { NULL , 0 , 0 } /* AF */,
965 { NULL , 0 , 0 } /* B0 */,
966 { NULL , 0 , 0 } /* B1 */,
967 { NULL , 0 , 0 } /* B2 */,
968 { NULL , 0 , 0 } /* B3 */,
969 { "OR IXH" , 8 , 0 } /* B4 */,
970 { "OR IXL" , 8 , 0 } /* B5 */,
971 { "OR (IX+$)" , 19 , 0 } /* B6 */,
972 { NULL , 0 , 0 } /* B7 */,
973 { NULL , 0 , 0 } /* B8 */,
974 { NULL , 0 , 0 } /* B9 */,
975 { NULL , 0 , 0 } /* BA */,
976 { NULL , 0 , 0 } /* BB */,
977 { "CP IXH" , 8 , 0 } /* BC */,
978 { "CP IXL" , 8 , 0 } /* BD */,
979 { "CP (IX+$)" , 19 , 0 } /* BE */,
980 { NULL , 0 , 0 } /* BF */,
981 { NULL , 0 , 0 } /* C0 */,
982 { NULL , 0 , 0 } /* C1 */,
983 { NULL , 0 , 0 } /* C2 */,
984 { NULL , 0 , 0 } /* C3 */,
985 { NULL , 0 , 0 } /* C4 */,
986 { NULL , 0 , 0 } /* C5 */,
987 { NULL , 0 , 0 } /* C6 */,
988 { NULL , 0 , 0 } /* C7 */,
989 { NULL , 0 , 0 } /* C8 */,
990 { NULL , 0 , 0 } /* C9 */,
991 { NULL , 0 , 0 } /* CA */,
992 { "shift CB" , 0 , 0 } /* CB */,
993 { NULL , 0 , 0 } /* CC */,
994 { NULL , 0 , 0 } /* CD */,
995 { NULL , 0 , 0 } /* CE */,
996 { NULL , 0 , 0 } /* CF */,
997 { NULL , 0 , 0 } /* D0 */,
998 { NULL , 0 , 0 } /* D1 */,
999 { NULL , 0 , 0 } /* D2 */,
1000 { NULL , 0 , 0 } /* D3 */,
1001 { NULL , 0 , 0 } /* D4 */,
1002 { NULL , 0 , 0 } /* D5 */,
1003 { NULL , 0 , 0 } /* D6 */,
1004 { NULL , 0 , 0 } /* D7 */,
1005 { NULL , 0 , 0 } /* D8 */,
1006 { NULL , 0 , 0 } /* D9 */,
1007 { NULL , 0 , 0 } /* DA */,
1008 { NULL , 0 , 0 } /* DB */,
1009 { NULL , 0 , 0 } /* DC */,
1010 { "ignore" , 4 , 0 } /* DD */,
1011 { NULL , 0 , 0 } /* DE */,
1012 { NULL , 0 , 0 } /* DF */,
1013 { NULL , 0 , 0 } /* E0 */,
1014 { "POP IX" , 14 , 0 } /* E1 */,
1015 { NULL , 0 , 0 } /* E2 */,
1016 { "EX (SP),IX" , 23 , 0 } /* E3 */,
1017 { NULL , 0 , 0 } /* E4 */,
1018 { "PUSH IX" , 15 , 0 } /* E5 */,
1019 { NULL , 0 , 0 } /* E6 */,
1020 { NULL , 0 , 0 } /* E7 */,
1021 { NULL , 0 , 0 } /* E8 */,
1022 { "JP IX" , 8 , 0 } /* E9 */,
1023 { NULL , 0 , 0 } /* EA */,
1024 { NULL , 0 , 0 } /* EB */,
1025 { NULL , 0 , 0 } /* EC */,
1026 { NULL , 4 , 0 } /* ED */,
1027 { NULL , 0 , 0 } /* EE */,
1028 { NULL , 0 , 0 } /* EF */,
1029 { NULL , 0 , 0 } /* F0 */,
1030 { NULL , 0 , 0 } /* F1 */,
1031 { NULL , 0 , 0 } /* F2 */,
1032 { NULL , 0 , 0 } /* F3 */,
1033 { NULL , 0 , 0 } /* F4 */,
1034 { NULL , 0 , 0 } /* F5 */,
1035 { NULL , 0 , 0 } /* F6 */,
1036 { NULL , 0 , 0 } /* F7 */,
1037 { NULL , 0 , 0 } /* F8 */,
1038 { "LD SP,IX" , 10 , 0 } /* F9 */,
1039 { NULL , 0 , 0 } /* FA */,
1040 { NULL , 0 , 0 } /* FB */,
1041 { NULL , 0 , 0 } /* FC */,
1042 { "ignore" , 4 , 0 } /* FD */,
1043 { NULL , 0 , 0 } /* FE */,
1044 { NULL , 0 , 0 } /* FF */
1045 
1046 };
1047 
1048 
1049 
1050 static const z80ex_opc_dasm dasm_fd[0x100] = {
1051 { NULL , 0 , 0 } /* 00 */,
1052 { NULL , 0 , 0 } /* 01 */,
1053 { NULL , 0 , 0 } /* 02 */,
1054 { NULL , 0 , 0 } /* 03 */,
1055 { NULL , 0 , 0 } /* 04 */,
1056 { NULL , 0 , 0 } /* 05 */,
1057 { NULL , 0 , 0 } /* 06 */,
1058 { NULL , 0 , 0 } /* 07 */,
1059 { NULL , 0 , 0 } /* 08 */,
1060 { "ADD IY,BC" , 15 , 0 } /* 09 */,
1061 { NULL , 0 , 0 } /* 0A */,
1062 { NULL , 0 , 0 } /* 0B */,
1063 { NULL , 0 , 0 } /* 0C */,
1064 { NULL , 0 , 0 } /* 0D */,
1065 { NULL , 0 , 0 } /* 0E */,
1066 { NULL , 0 , 0 } /* 0F */,
1067 { NULL , 0 , 0 } /* 10 */,
1068 { NULL , 0 , 0 } /* 11 */,
1069 { NULL , 0 , 0 } /* 12 */,
1070 { NULL , 0 , 0 } /* 13 */,
1071 { NULL , 0 , 0 } /* 14 */,
1072 { NULL , 0 , 0 } /* 15 */,
1073 { NULL , 0 , 0 } /* 16 */,
1074 { NULL , 0 , 0 } /* 17 */,
1075 { NULL , 0 , 0 } /* 18 */,
1076 { "ADD IY,DE" , 15 , 0 } /* 19 */,
1077 { NULL , 0 , 0 } /* 1A */,
1078 { NULL , 0 , 0 } /* 1B */,
1079 { NULL , 0 , 0 } /* 1C */,
1080 { NULL , 0 , 0 } /* 1D */,
1081 { NULL , 0 , 0 } /* 1E */,
1082 { NULL , 0 , 0 } /* 1F */,
1083 { NULL , 0 , 0 } /* 20 */,
1084 { "LD IY,@" , 14 , 0 } /* 21 */,
1085 { "LD (@),IY" , 20 , 0 } /* 22 */,
1086 { "INC IY" , 10 , 0 } /* 23 */,
1087 { "INC IYH" , 8 , 0 } /* 24 */,
1088 { "DEC IYH" , 8 , 0 } /* 25 */,
1089 { "LD IYH,#" , 11 , 0 } /* 26 */,
1090 { NULL , 0 , 0 } /* 27 */,
1091 { NULL , 0 , 0 } /* 28 */,
1092 { "ADD IY,IY" , 15 , 0 } /* 29 */,
1093 { "LD IY,(@)" , 20 , 0 } /* 2A */,
1094 { "DEC IY" , 10 , 0 } /* 2B */,
1095 { "INC IYL" , 8 , 0 } /* 2C */,
1096 { "DEC IYL" , 8 , 0 } /* 2D */,
1097 { "LD IYL,#" , 11 , 0 } /* 2E */,
1098 { NULL , 0 , 0 } /* 2F */,
1099 { NULL , 0 , 0 } /* 30 */,
1100 { NULL , 0 , 0 } /* 31 */,
1101 { NULL , 0 , 0 } /* 32 */,
1102 { NULL , 0 , 0 } /* 33 */,
1103 { "INC (IY+$)" , 23 , 0 } /* 34 */,
1104 { "DEC (IY+$)" , 23 , 0 } /* 35 */,
1105 { "LD (IY+$),#" , 19 , 0 } /* 36 */,
1106 { NULL , 0 , 0 } /* 37 */,
1107 { NULL , 0 , 0 } /* 38 */,
1108 { "ADD IY,SP" , 15 , 0 } /* 39 */,
1109 { NULL , 0 , 0 } /* 3A */,
1110 { NULL , 0 , 0 } /* 3B */,
1111 { NULL , 0 , 0 } /* 3C */,
1112 { NULL , 0 , 0 } /* 3D */,
1113 { NULL , 0 , 0 } /* 3E */,
1114 { NULL , 0 , 0 } /* 3F */,
1115 { NULL , 0 , 0 } /* 40 */,
1116 { NULL , 0 , 0 } /* 41 */,
1117 { NULL , 0 , 0 } /* 42 */,
1118 { NULL , 0 , 0 } /* 43 */,
1119 { "LD B,IYH" , 8 , 0 } /* 44 */,
1120 { "LD B,IYL" , 8 , 0 } /* 45 */,
1121 { "LD B,(IY+$)" , 19 , 0 } /* 46 */,
1122 { NULL , 0 , 0 } /* 47 */,
1123 { NULL , 0 , 0 } /* 48 */,
1124 { NULL , 0 , 0 } /* 49 */,
1125 { NULL , 0 , 0 } /* 4A */,
1126 { NULL , 0 , 0 } /* 4B */,
1127 { "LD C,IYH" , 8 , 0 } /* 4C */,
1128 { "LD C,IYL" , 8 , 0 } /* 4D */,
1129 { "LD C,(IY+$)" , 19 , 0 } /* 4E */,
1130 { NULL , 0 , 0 } /* 4F */,
1131 { NULL , 0 , 0 } /* 50 */,
1132 { NULL , 0 , 0 } /* 51 */,
1133 { NULL , 0 , 0 } /* 52 */,
1134 { NULL , 0 , 0 } /* 53 */,
1135 { "LD D,IYH" , 8 , 0 } /* 54 */,
1136 { "LD D,IYL" , 8 , 0 } /* 55 */,
1137 { "LD D,(IY+$)" , 19 , 0 } /* 56 */,
1138 { NULL , 0 , 0 } /* 57 */,
1139 { NULL , 0 , 0 } /* 58 */,
1140 { NULL , 0 , 0 } /* 59 */,
1141 { NULL , 0 , 0 } /* 5A */,
1142 { NULL , 0 , 0 } /* 5B */,
1143 { "LD E,IYH" , 8 , 0 } /* 5C */,
1144 { "LD E,IYL" , 8 , 0 } /* 5D */,
1145 { "LD E,(IY+$)" , 19 , 0 } /* 5E */,
1146 { NULL , 0 , 0 } /* 5F */,
1147 { "LD IYH,B" , 8 , 0 } /* 60 */,
1148 { "LD IYH,C" , 8 , 0 } /* 61 */,
1149 { "LD IYH,D" , 8 , 0 } /* 62 */,
1150 { "LD IYH,E" , 8 , 0 } /* 63 */,
1151 { "LD IYH,IYH" , 8 , 0 } /* 64 */,
1152 { "LD IYH,IYL" , 8 , 0 } /* 65 */,
1153 { "LD H,(IY+$)" , 19 , 0 } /* 66 */,
1154 { "LD IYH,A" , 8 , 0 } /* 67 */,
1155 { "LD IYL,B" , 8 , 0 } /* 68 */,
1156 { "LD IYL,C" , 8 , 0 } /* 69 */,
1157 { "LD IYL,D" , 8 , 0 } /* 6A */,
1158 { "LD IYL,E" , 8 , 0 } /* 6B */,
1159 { "LD IYL,IYH" , 8 , 0 } /* 6C */,
1160 { "LD IYL,IYL" , 8 , 0 } /* 6D */,
1161 { "LD L,(IY+$)" , 19 , 0 } /* 6E */,
1162 { "LD IYL,A" , 8 , 0 } /* 6F */,
1163 { "LD (IY+$),B" , 19 , 0 } /* 70 */,
1164 { "LD (IY+$),C" , 19 , 0 } /* 71 */,
1165 { "LD (IY+$),D" , 19 , 0 } /* 72 */,
1166 { "LD (IY+$),E" , 19 , 0 } /* 73 */,
1167 { "LD (IY+$),H" , 19 , 0 } /* 74 */,
1168 { "LD (IY+$),L" , 19 , 0 } /* 75 */,
1169 { NULL , 0 , 0 } /* 76 */,
1170 { "LD (IY+$),A" , 19 , 0 } /* 77 */,
1171 { NULL , 0 , 0 } /* 78 */,
1172 { NULL , 0 , 0 } /* 79 */,
1173 { NULL , 0 , 0 } /* 7A */,
1174 { NULL , 0 , 0 } /* 7B */,
1175 { "LD A,IYH" , 8 , 0 } /* 7C */,
1176 { "LD A,IYL" , 8 , 0 } /* 7D */,
1177 { "LD A,(IY+$)" , 19 , 0 } /* 7E */,
1178 { NULL , 0 , 0 } /* 7F */,
1179 { NULL , 0 , 0 } /* 80 */,
1180 { NULL , 0 , 0 } /* 81 */,
1181 { NULL , 0 , 0 } /* 82 */,
1182 { NULL , 0 , 0 } /* 83 */,
1183 { "ADD IYH" , 8 , 0 } /* 84 */,
1184 { "ADD IYL" , 8 , 0 } /* 85 */,
1185 { "ADD (IY+$)" , 19 , 0 } /* 86 */,
1186 { NULL , 0 , 0 } /* 87 */,
1187 { NULL , 0 , 0 } /* 88 */,
1188 { NULL , 0 , 0 } /* 89 */,
1189 { NULL , 0 , 0 } /* 8A */,
1190 { NULL , 0 , 0 } /* 8B */,
1191 { "ADC IYH" , 8 , 0 } /* 8C */,
1192 { "ADC IYL" , 8 , 0 } /* 8D */,
1193 { "ADC (IY+$)" , 19 , 0 } /* 8E */,
1194 { NULL , 0 , 0 } /* 8F */,
1195 { NULL , 0 , 0 } /* 90 */,
1196 { NULL , 0 , 0 } /* 91 */,
1197 { NULL , 0 , 0 } /* 92 */,
1198 { NULL , 0 , 0 } /* 93 */,
1199 { "SUB IYH" , 8 , 0 } /* 94 */,
1200 { "SUB IYL" , 8 , 0 } /* 95 */,
1201 { "SUB (IY+$)" , 19 , 0 } /* 96 */,
1202 { NULL , 0 , 0 } /* 97 */,
1203 { NULL , 0 , 0 } /* 98 */,
1204 { NULL , 0 , 0 } /* 99 */,
1205 { NULL , 0 , 0 } /* 9A */,
1206 { NULL , 0 , 0 } /* 9B */,
1207 { "SBC IYH" , 8 , 0 } /* 9C */,
1208 { "SBC IYL" , 8 , 0 } /* 9D */,
1209 { "SBC (IY+$)" , 19 , 0 } /* 9E */,
1210 { NULL , 0 , 0 } /* 9F */,
1211 { NULL , 0 , 0 } /* A0 */,
1212 { NULL , 0 , 0 } /* A1 */,
1213 { NULL , 0 , 0 } /* A2 */,
1214 { NULL , 0 , 0 } /* A3 */,
1215 { "AND IYH" , 8 , 0 } /* A4 */,
1216 { "AND IYL" , 8 , 0 } /* A5 */,
1217 { "AND (IY+$)" , 19 , 0 } /* A6 */,
1218 { NULL , 0 , 0 } /* A7 */,
1219 { NULL , 0 , 0 } /* A8 */,
1220 { NULL , 0 , 0 } /* A9 */,
1221 { NULL , 0 , 0 } /* AA */,
1222 { NULL , 0 , 0 } /* AB */,
1223 { "XOR IYH" , 8 , 0 } /* AC */,
1224 { "XOR IYL" , 8 , 0 } /* AD */,
1225 { "XOR (IY+$)" , 19 , 0 } /* AE */,
1226 { NULL , 0 , 0 } /* AF */,
1227 { NULL , 0 , 0 } /* B0 */,
1228 { NULL , 0 , 0 } /* B1 */,
1229 { NULL , 0 , 0 } /* B2 */,
1230 { NULL , 0 , 0 } /* B3 */,
1231 { "OR IYH" , 8 , 0 } /* B4 */,
1232 { "OR IYL" , 8 , 0 } /* B5 */,
1233 { "OR (IY+$)" , 19 , 0 } /* B6 */,
1234 { NULL , 0 , 0 } /* B7 */,
1235 { NULL , 0 , 0 } /* B8 */,
1236 { NULL , 0 , 0 } /* B9 */,
1237 { NULL , 0 , 0 } /* BA */,
1238 { NULL , 0 , 0 } /* BB */,
1239 { "CP IYH" , 8 , 0 } /* BC */,
1240 { "CP IYL" , 8 , 0 } /* BD */,
1241 { "CP (IY+$)" , 19 , 0 } /* BE */,
1242 { NULL , 0 , 0 } /* BF */,
1243 { NULL , 0 , 0 } /* C0 */,
1244 { NULL , 0 , 0 } /* C1 */,
1245 { NULL , 0 , 0 } /* C2 */,
1246 { NULL , 0 , 0 } /* C3 */,
1247 { NULL , 0 , 0 } /* C4 */,
1248 { NULL , 0 , 0 } /* C5 */,
1249 { NULL , 0 , 0 } /* C6 */,
1250 { NULL , 0 , 0 } /* C7 */,
1251 { NULL , 0 , 0 } /* C8 */,
1252 { NULL , 0 , 0 } /* C9 */,
1253 { NULL , 0 , 0 } /* CA */,
1254 { "shift CB" , 0 , 0 } /* CB */,
1255 { NULL , 0 , 0 } /* CC */,
1256 { NULL , 0 , 0 } /* CD */,
1257 { NULL , 0 , 0 } /* CE */,
1258 { NULL , 0 , 0 } /* CF */,
1259 { NULL , 0 , 0 } /* D0 */,
1260 { NULL , 0 , 0 } /* D1 */,
1261 { NULL , 0 , 0 } /* D2 */,
1262 { NULL , 0 , 0 } /* D3 */,
1263 { NULL , 0 , 0 } /* D4 */,
1264 { NULL , 0 , 0 } /* D5 */,
1265 { NULL , 0 , 0 } /* D6 */,
1266 { NULL , 0 , 0 } /* D7 */,
1267 { NULL , 0 , 0 } /* D8 */,
1268 { NULL , 0 , 0 } /* D9 */,
1269 { NULL , 0 , 0 } /* DA */,
1270 { NULL , 0 , 0 } /* DB */,
1271 { NULL , 0 , 0 } /* DC */,
1272 { "ignore" , 4 , 0 } /* DD */,
1273 { NULL , 0 , 0 } /* DE */,
1274 { NULL , 0 , 0 } /* DF */,
1275 { NULL , 0 , 0 } /* E0 */,
1276 { "POP IY" , 14 , 0 } /* E1 */,
1277 { NULL , 0 , 0 } /* E2 */,
1278 { "EX (SP),IY" , 23 , 0 } /* E3 */,
1279 { NULL , 0 , 0 } /* E4 */,
1280 { "PUSH IY" , 15 , 0 } /* E5 */,
1281 { NULL , 0 , 0 } /* E6 */,
1282 { NULL , 0 , 0 } /* E7 */,
1283 { NULL , 0 , 0 } /* E8 */,
1284 { "JP IY" , 8 , 0 } /* E9 */,
1285 { NULL , 0 , 0 } /* EA */,
1286 { NULL , 0 , 0 } /* EB */,
1287 { NULL , 0 , 0 } /* EC */,
1288 { NULL , 4 , 0 } /* ED */,
1289 { NULL , 0 , 0 } /* EE */,
1290 { NULL , 0 , 0 } /* EF */,
1291 { NULL , 0 , 0 } /* F0 */,
1292 { NULL , 0 , 0 } /* F1 */,
1293 { NULL , 0 , 0 } /* F2 */,
1294 { NULL , 0 , 0 } /* F3 */,
1295 { NULL , 0 , 0 } /* F4 */,
1296 { NULL , 0 , 0 } /* F5 */,
1297 { NULL , 0 , 0 } /* F6 */,
1298 { NULL , 0 , 0 } /* F7 */,
1299 { NULL , 0 , 0 } /* F8 */,
1300 { "LD SP,IY" , 10 , 0 } /* F9 */,
1301 { NULL , 0 , 0 } /* FA */,
1302 { NULL , 0 , 0 } /* FB */,
1303 { NULL , 0 , 0 } /* FC */,
1304 { "ignore" , 4 , 0 } /* FD */,
1305 { NULL , 0 , 0 } /* FE */,
1306 { NULL , 0 , 0 } /* FF */
1307 
1308 };
1309 
1310 
1311 
1312 static const z80ex_opc_dasm dasm_ddcb[0x100] = {
1313 { "LD B,RLC (IX+$)" , 23 , 0 } /* 00 */,
1314 { "LD C,RLC (IX+$)" , 23 , 0 } /* 01 */,
1315 { "LD D,RLC (IX+$)" , 23 , 0 } /* 02 */,
1316 { "LD E,RLC (IX+$)" , 23 , 0 } /* 03 */,
1317 { "LD H,RLC (IX+$)" , 23 , 0 } /* 04 */,
1318 { "LD L,RLC (IX+$)" , 23 , 0 } /* 05 */,
1319 { "RLC (IX+$)" , 23 , 0 } /* 06 */,
1320 { "LD A,RLC (IX+$)" , 23 , 0 } /* 07 */,
1321 { "LD B,RRC (IX+$)" , 23 , 0 } /* 08 */,
1322 { "LD C,RRC (IX+$)" , 23 , 0 } /* 09 */,
1323 { "LD D,RRC (IX+$)" , 23 , 0 } /* 0A */,
1324 { "LD E,RRC (IX+$)" , 23 , 0 } /* 0B */,
1325 { "LD H,RRC (IX+$)" , 23 , 0 } /* 0C */,
1326 { "LD L,RRC (IX+$)" , 23 , 0 } /* 0D */,
1327 { "RRC (IX+$)" , 23 , 0 } /* 0E */,
1328 { "LD A,RRC (IX+$)" , 23 , 0 } /* 0F */,
1329 { "LD B,RL (IX+$)" , 23 , 0 } /* 10 */,
1330 { "LD C,RL (IX+$)" , 23 , 0 } /* 11 */,
1331 { "LD D,RL (IX+$)" , 23 , 0 } /* 12 */,
1332 { "LD E,RL (IX+$)" , 23 , 0 } /* 13 */,
1333 { "LD H,RL (IX+$)" , 23 , 0 } /* 14 */,
1334 { "LD L,RL (IX+$)" , 23 , 0 } /* 15 */,
1335 { "RL (IX+$)" , 23 , 0 } /* 16 */,
1336 { "LD A,RL (IX+$)" , 23 , 0 } /* 17 */,
1337 { "LD B,RR (IX+$)" , 23 , 0 } /* 18 */,
1338 { "LD C,RR (IX+$)" , 23 , 0 } /* 19 */,
1339 { "LD D,RR (IX+$)" , 23 , 0 } /* 1A */,
1340 { "LD E,RR (IX+$)" , 23 , 0 } /* 1B */,
1341 { "LD H,RR (IX+$)" , 23 , 0 } /* 1C */,
1342 { "LD L,RR (IX+$)" , 23 , 0 } /* 1D */,
1343 { "RR (IX+$)" , 23 , 0 } /* 1E */,
1344 { "LD A,RR (IX+$)" , 23 , 0 } /* 1F */,
1345 { "LD B,SLA (IX+$)" , 23 , 0 } /* 20 */,
1346 { "LD C,SLA (IX+$)" , 23 , 0 } /* 21 */,
1347 { "LD D,SLA (IX+$)" , 23 , 0 } /* 22 */,
1348 { "LD E,SLA (IX+$)" , 23 , 0 } /* 23 */,
1349 { "LD H,SLA (IX+$)" , 23 , 0 } /* 24 */,
1350 { "LD L,SLA (IX+$)" , 23 , 0 } /* 25 */,
1351 { "SLA (IX+$)" , 23 , 0 } /* 26 */,
1352 { "LD A,SLA (IX+$)" , 23 , 0 } /* 27 */,
1353 { "LD B,SRA (IX+$)" , 23 , 0 } /* 28 */,
1354 { "LD C,SRA (IX+$)" , 23 , 0 } /* 29 */,
1355 { "LD D,SRA (IX+$)" , 23 , 0 } /* 2A */,
1356 { "LD E,SRA (IX+$)" , 23 , 0 } /* 2B */,
1357 { "LD H,SRA (IX+$)" , 23 , 0 } /* 2C */,
1358 { "LD L,SRA (IX+$)" , 23 , 0 } /* 2D */,
1359 { "SRA (IX+$)" , 23 , 0 } /* 2E */,
1360 { "LD A,SRA (IX+$)" , 23 , 0 } /* 2F */,
1361 { "LD B,SLL (IX+$)" , 23 , 0 } /* 30 */,
1362 { "LD C,SLL (IX+$)" , 23 , 0 } /* 31 */,
1363 { "LD D,SLL (IX+$)" , 23 , 0 } /* 32 */,
1364 { "LD E,SLL (IX+$)" , 23 , 0 } /* 33 */,
1365 { "LD H,SLL (IX+$)" , 23 , 0 } /* 34 */,
1366 { "LD L,SLL (IX+$)" , 23 , 0 } /* 35 */,
1367 { "SLL (IX+$)" , 23 , 0 } /* 36 */,
1368 { "LD A,SLL (IX+$)" , 23 , 0 } /* 37 */,
1369 { "LD B,SRL (IX+$)" , 23 , 0 } /* 38 */,
1370 { "LD C,SRL (IX+$)" , 23 , 0 } /* 39 */,
1371 { "LD D,SRL (IX+$)" , 23 , 0 } /* 3A */,
1372 { "LD E,SRL (IX+$)" , 23 , 0 } /* 3B */,
1373 { "LD H,SRL (IX+$)" , 23 , 0 } /* 3C */,
1374 { "LD L,SRL (IX+$)" , 23 , 0 } /* 3D */,
1375 { "SRL (IX+$)" , 23 , 0 } /* 3E */,
1376 { "LD A,SRL (IX+$)" , 23 , 0 } /* 3F */,
1377 { "BIT 0,(IX+$)" , 20 , 0 } /* 40 */,
1378 { "BIT 0,(IX+$)" , 20 , 0 } /* 41 */,
1379 { "BIT 0,(IX+$)" , 20 , 0 } /* 42 */,
1380 { "BIT 0,(IX+$)" , 20 , 0 } /* 43 */,
1381 { "BIT 0,(IX+$)" , 20 , 0 } /* 44 */,
1382 { "BIT 0,(IX+$)" , 20 , 0 } /* 45 */,
1383 { "BIT 0,(IX+$)" , 20 , 0 } /* 46 */,
1384 { "BIT 0,(IX+$)" , 20 , 0 } /* 47 */,
1385 { "BIT 1,(IX+$)" , 20 , 0 } /* 48 */,
1386 { "BIT 1,(IX+$)" , 20 , 0 } /* 49 */,
1387 { "BIT 1,(IX+$)" , 20 , 0 } /* 4A */,
1388 { "BIT 1,(IX+$)" , 20 , 0 } /* 4B */,
1389 { "BIT 1,(IX+$)" , 20 , 0 } /* 4C */,
1390 { "BIT 1,(IX+$)" , 20 , 0 } /* 4D */,
1391 { "BIT 1,(IX+$)" , 20 , 0 } /* 4E */,
1392 { "BIT 1,(IX+$)" , 20 , 0 } /* 4F */,
1393 { "BIT 2,(IX+$)" , 20 , 0 } /* 50 */,
1394 { "BIT 2,(IX+$)" , 20 , 0 } /* 51 */,
1395 { "BIT 2,(IX+$)" , 20 , 0 } /* 52 */,
1396 { "BIT 2,(IX+$)" , 20 , 0 } /* 53 */,
1397 { "BIT 2,(IX+$)" , 20 , 0 } /* 54 */,
1398 { "BIT 2,(IX+$)" , 20 , 0 } /* 55 */,
1399 { "BIT 2,(IX+$)" , 20 , 0 } /* 56 */,
1400 { "BIT 2,(IX+$)" , 20 , 0 } /* 57 */,
1401 { "BIT 3,(IX+$)" , 20 , 0 } /* 58 */,
1402 { "BIT 3,(IX+$)" , 20 , 0 } /* 59 */,
1403 { "BIT 3,(IX+$)" , 20 , 0 } /* 5A */,
1404 { "BIT 3,(IX+$)" , 20 , 0 } /* 5B */,
1405 { "BIT 3,(IX+$)" , 20 , 0 } /* 5C */,
1406 { "BIT 3,(IX+$)" , 20 , 0 } /* 5D */,
1407 { "BIT 3,(IX+$)" , 20 , 0 } /* 5E */,
1408 { "BIT 3,(IX+$)" , 20 , 0 } /* 5F */,
1409 { "BIT 4,(IX+$)" , 20 , 0 } /* 60 */,
1410 { "BIT 4,(IX+$)" , 20 , 0 } /* 61 */,
1411 { "BIT 4,(IX+$)" , 20 , 0 } /* 62 */,
1412 { "BIT 4,(IX+$)" , 20 , 0 } /* 63 */,
1413 { "BIT 4,(IX+$)" , 20 , 0 } /* 64 */,
1414 { "BIT 4,(IX+$)" , 20 , 0 } /* 65 */,
1415 { "BIT 4,(IX+$)" , 20 , 0 } /* 66 */,
1416 { "BIT 4,(IX+$)" , 20 , 0 } /* 67 */,
1417 { "BIT 5,(IX+$)" , 20 , 0 } /* 68 */,
1418 { "BIT 5,(IX+$)" , 20 , 0 } /* 69 */,
1419 { "BIT 5,(IX+$)" , 20 , 0 } /* 6A */,
1420 { "BIT 5,(IX+$)" , 20 , 0 } /* 6B */,
1421 { "BIT 5,(IX+$)" , 20 , 0 } /* 6C */,
1422 { "BIT 5,(IX+$)" , 20 , 0 } /* 6D */,
1423 { "BIT 5,(IX+$)" , 20 , 0 } /* 6E */,
1424 { "BIT 5,(IX+$)" , 20 , 0 } /* 6F */,
1425 { "BIT 6,(IX+$)" , 20 , 0 } /* 70 */,
1426 { "BIT 6,(IX+$)" , 20 , 0 } /* 71 */,
1427 { "BIT 6,(IX+$)" , 20 , 0 } /* 72 */,
1428 { "BIT 6,(IX+$)" , 20 , 0 } /* 73 */,
1429 { "BIT 6,(IX+$)" , 20 , 0 } /* 74 */,
1430 { "BIT 6,(IX+$)" , 20 , 0 } /* 75 */,
1431 { "BIT 6,(IX+$)" , 20 , 0 } /* 76 */,
1432 { "BIT 6,(IX+$)" , 20 , 0 } /* 77 */,
1433 { "BIT 7,(IX+$)" , 20 , 0 } /* 78 */,
1434 { "BIT 7,(IX+$)" , 20 , 0 } /* 79 */,
1435 { "BIT 7,(IX+$)" , 20 , 0 } /* 7A */,
1436 { "BIT 7,(IX+$)" , 20 , 0 } /* 7B */,
1437 { "BIT 7,(IX+$)" , 20 , 0 } /* 7C */,
1438 { "BIT 7,(IX+$)" , 20 , 0 } /* 7D */,
1439 { "BIT 7,(IX+$)" , 20 , 0 } /* 7E */,
1440 { "BIT 7,(IX+$)" , 20 , 0 } /* 7F */,
1441 { "LD B,RES 0,(IX+$)" , 23 , 0 } /* 80 */,
1442 { "LD C,RES 0,(IX+$)" , 23 , 0 } /* 81 */,
1443 { "LD D,RES 0,(IX+$)" , 23 , 0 } /* 82 */,
1444 { "LD E,RES 0,(IX+$)" , 23 , 0 } /* 83 */,
1445 { "LD H,RES 0,(IX+$)" , 23 , 0 } /* 84 */,
1446 { "LD L,RES 0,(IX+$)" , 23 , 0 } /* 85 */,
1447 { "RES 0,(IX+$)" , 23 , 0 } /* 86 */,
1448 { "LD A,RES 0,(IX+$)" , 23 , 0 } /* 87 */,
1449 { "LD B,RES 1,(IX+$)" , 23 , 0 } /* 88 */,
1450 { "LD C,RES 1,(IX+$)" , 23 , 0 } /* 89 */,
1451 { "LD D,RES 1,(IX+$)" , 23 , 0 } /* 8A */,
1452 { "LD E,RES 1,(IX+$)" , 23 , 0 } /* 8B */,
1453 { "LD H,RES 1,(IX+$)" , 23 , 0 } /* 8C */,
1454 { "LD L,RES 1,(IX+$)" , 23 , 0 } /* 8D */,
1455 { "RES 1,(IX+$)" , 23 , 0 } /* 8E */,
1456 { "LD A,RES 1,(IX+$)" , 23 , 0 } /* 8F */,
1457 { "LD B,RES 2,(IX+$)" , 23 , 0 } /* 90 */,
1458 { "LD C,RES 2,(IX+$)" , 23 , 0 } /* 91 */,
1459 { "LD D,RES 2,(IX+$)" , 23 , 0 } /* 92 */,
1460 { "LD E,RES 2,(IX+$)" , 23 , 0 } /* 93 */,
1461 { "LD H,RES 2,(IX+$)" , 23 , 0 } /* 94 */,
1462 { "LD L,RES 2,(IX+$)" , 23 , 0 } /* 95 */,
1463 { "RES 2,(IX+$)" , 23 , 0 } /* 96 */,
1464 { "LD A,RES 2,(IX+$)" , 23 , 0 } /* 97 */,
1465 { "LD B,RES 3,(IX+$)" , 23 , 0 } /* 98 */,
1466 { "LD C,RES 3,(IX+$)" , 23 , 0 } /* 99 */,
1467 { "LD D,RES 3,(IX+$)" , 23 , 0 } /* 9A */,
1468 { "LD E,RES 3,(IX+$)" , 23 , 0 } /* 9B */,
1469 { "LD H,RES 3,(IX+$)" , 23 , 0 } /* 9C */,
1470 { "LD L,RES 3,(IX+$)" , 23 , 0 } /* 9D */,
1471 { "RES 3,(IX+$)" , 23 , 0 } /* 9E */,
1472 { "LD A,RES 3,(IX+$)" , 23 , 0 } /* 9F */,
1473 { "LD B,RES 4,(IX+$)" , 23 , 0 } /* A0 */,
1474 { "LD C,RES 4,(IX+$)" , 23 , 0 } /* A1 */,
1475 { "LD D,RES 4,(IX+$)" , 23 , 0 } /* A2 */,
1476 { "LD E,RES 4,(IX+$)" , 23 , 0 } /* A3 */,
1477 { "LD H,RES 4,(IX+$)" , 23 , 0 } /* A4 */,
1478 { "LD L,RES 4,(IX+$)" , 23 , 0 } /* A5 */,
1479 { "RES 4,(IX+$)" , 23 , 0 } /* A6 */,
1480 { "LD A,RES 4,(IX+$)" , 23 , 0 } /* A7 */,
1481 { "LD B,RES 5,(IX+$)" , 23 , 0 } /* A8 */,
1482 { "LD C,RES 5,(IX+$)" , 23 , 0 } /* A9 */,
1483 { "LD D,RES 5,(IX+$)" , 23 , 0 } /* AA */,
1484 { "LD E,RES 5,(IX+$)" , 23 , 0 } /* AB */,
1485 { "LD H,RES 5,(IX+$)" , 23 , 0 } /* AC */,
1486 { "LD L,RES 5,(IX+$)" , 23 , 0 } /* AD */,
1487 { "RES 5,(IX+$)" , 23 , 0 } /* AE */,
1488 { "LD A,RES 5,(IX+$)" , 23 , 0 } /* AF */,
1489 { "LD B,RES 6,(IX+$)" , 23 , 0 } /* B0 */,
1490 { "LD C,RES 6,(IX+$)" , 23 , 0 } /* B1 */,
1491 { "LD D,RES 6,(IX+$)" , 23 , 0 } /* B2 */,
1492 { "LD E,RES 6,(IX+$)" , 23 , 0 } /* B3 */,
1493 { "LD H,RES 6,(IX+$)" , 23 , 0 } /* B4 */,
1494 { "LD L,RES 6,(IX+$)" , 23 , 0 } /* B5 */,
1495 { "RES 6,(IX+$)" , 23 , 0 } /* B6 */,
1496 { "LD A,RES 6,(IX+$)" , 23 , 0 } /* B7 */,
1497 { "LD B,RES 7,(IX+$)" , 23 , 0 } /* B8 */,
1498 { "LD C,RES 7,(IX+$)" , 23 , 0 } /* B9 */,
1499 { "LD D,RES 7,(IX+$)" , 23 , 0 } /* BA */,
1500 { "LD E,RES 7,(IX+$)" , 23 , 0 } /* BB */,
1501 { "LD H,RES 7,(IX+$)" , 23 , 0 } /* BC */,
1502 { "LD L,RES 7,(IX+$)" , 23 , 0 } /* BD */,
1503 { "RES 7,(IX+$)" , 23 , 0 } /* BE */,
1504 { "LD A,RES 7,(IX+$)" , 23 , 0 } /* BF */,
1505 { "LD B,SET 0,(IX+$)" , 23 , 0 } /* C0 */,
1506 { "LD C,SET 0,(IX+$)" , 23 , 0 } /* C1 */,
1507 { "LD D,SET 0,(IX+$)" , 23 , 0 } /* C2 */,
1508 { "LD E,SET 0,(IX+$)" , 23 , 0 } /* C3 */,
1509 { "LD H,SET 0,(IX+$)" , 23 , 0 } /* C4 */,
1510 { "LD L,SET 0,(IX+$)" , 23 , 0 } /* C5 */,
1511 { "SET 0,(IX+$)" , 23 , 0 } /* C6 */,
1512 { "LD A,SET 0,(IX+$)" , 23 , 0 } /* C7 */,
1513 { "LD B,SET 1,(IX+$)" , 23 , 0 } /* C8 */,
1514 { "LD C,SET 1,(IX+$)" , 23 , 0 } /* C9 */,
1515 { "LD D,SET 1,(IX+$)" , 23 , 0 } /* CA */,
1516 { "LD E,SET 1,(IX+$)" , 23 , 0 } /* CB */,
1517 { "LD H,SET 1,(IX+$)" , 23 , 0 } /* CC */,
1518 { "LD L,SET 1,(IX+$)" , 23 , 0 } /* CD */,
1519 { "SET 1,(IX+$)" , 23 , 0 } /* CE */,
1520 { "LD A,SET 1,(IX+$)" , 23 , 0 } /* CF */,
1521 { "LD B,SET 2,(IX+$)" , 23 , 0 } /* D0 */,
1522 { "LD C,SET 2,(IX+$)" , 23 , 0 } /* D1 */,
1523 { "LD D,SET 2,(IX+$)" , 23 , 0 } /* D2 */,
1524 { "LD E,SET 2,(IX+$)" , 23 , 0 } /* D3 */,
1525 { "LD H,SET 2,(IX+$)" , 23 , 0 } /* D4 */,
1526 { "LD L,SET 2,(IX+$)" , 23 , 0 } /* D5 */,
1527 { "SET 2,(IX+$)" , 23 , 0 } /* D6 */,
1528 { "LD A,SET 2,(IX+$)" , 23 , 0 } /* D7 */,
1529 { "LD B,SET 3,(IX+$)" , 23 , 0 } /* D8 */,
1530 { "LD C,SET 3,(IX+$)" , 23 , 0 } /* D9 */,
1531 { "LD D,SET 3,(IX+$)" , 23 , 0 } /* DA */,
1532 { "LD E,SET 3,(IX+$)" , 23 , 0 } /* DB */,
1533 { "LD H,SET 3,(IX+$)" , 23 , 0 } /* DC */,
1534 { "LD L,SET 3,(IX+$)" , 23 , 0 } /* DD */,
1535 { "SET 3,(IX+$)" , 23 , 0 } /* DE */,
1536 { "LD A,SET 3,(IX+$)" , 23 , 0 } /* DF */,
1537 { "LD B,SET 4,(IX+$)" , 23 , 0 } /* E0 */,
1538 { "LD C,SET 4,(IX+$)" , 23 , 0 } /* E1 */,
1539 { "LD D,SET 4,(IX+$)" , 23 , 0 } /* E2 */,
1540 { "LD E,SET 4,(IX+$)" , 23 , 0 } /* E3 */,
1541 { "LD H,SET 4,(IX+$)" , 23 , 0 } /* E4 */,
1542 { "LD L,SET 4,(IX+$)" , 23 , 0 } /* E5 */,
1543 { "SET 4,(IX+$)" , 23 , 0 } /* E6 */,
1544 { "LD A,SET 4,(IX+$)" , 23 , 0 } /* E7 */,
1545 { "LD B,SET 5,(IX+$)" , 23 , 0 } /* E8 */,
1546 { "LD C,SET 5,(IX+$)" , 23 , 0 } /* E9 */,
1547 { "LD D,SET 5,(IX+$)" , 23 , 0 } /* EA */,
1548 { "LD E,SET 5,(IX+$)" , 23 , 0 } /* EB */,
1549 { "LD H,SET 5,(IX+$)" , 23 , 0 } /* EC */,
1550 { "LD L,SET 5,(IX+$)" , 23 , 0 } /* ED */,
1551 { "SET 5,(IX+$)" , 23 , 0 } /* EE */,
1552 { "LD A,SET 5,(IX+$)" , 23 , 0 } /* EF */,
1553 { "LD B,SET 6,(IX+$)" , 23 , 0 } /* F0 */,
1554 { "LD C,SET 6,(IX+$)" , 23 , 0 } /* F1 */,
1555 { "LD D,SET 6,(IX+$)" , 23 , 0 } /* F2 */,
1556 { "LD E,SET 6,(IX+$)" , 23 , 0 } /* F3 */,
1557 { "LD H,SET 6,(IX+$)" , 23 , 0 } /* F4 */,
1558 { "LD L,SET 6,(IX+$)" , 23 , 0 } /* F5 */,
1559 { "SET 6,(IX+$)" , 23 , 0 } /* F6 */,
1560 { "LD A,SET 6,(IX+$)" , 23 , 0 } /* F7 */,
1561 { "LD B,SET 7,(IX+$)" , 23 , 0 } /* F8 */,
1562 { "LD C,SET 7,(IX+$)" , 23 , 0 } /* F9 */,
1563 { "LD D,SET 7,(IX+$)" , 23 , 0 } /* FA */,
1564 { "LD E,SET 7,(IX+$)" , 23 , 0 } /* FB */,
1565 { "LD H,SET 7,(IX+$)" , 23 , 0 } /* FC */,
1566 { "LD L,SET 7,(IX+$)" , 23 , 0 } /* FD */,
1567 { "SET 7,(IX+$)" , 23 , 0 } /* FE */,
1568 { "LD A,SET 7,(IX+$)" , 23 , 0 } /* FF */
1569 
1570 };
1571 
1572 
1573 
1574 static const z80ex_opc_dasm dasm_fdcb[0x100] = {
1575 { "LD B,RLC (IY+$)" , 23 , 0 } /* 00 */,
1576 { "LD C,RLC (IY+$)" , 23 , 0 } /* 01 */,
1577 { "LD D,RLC (IY+$)" , 23 , 0 } /* 02 */,
1578 { "LD E,RLC (IY+$)" , 23 , 0 } /* 03 */,
1579 { "LD H,RLC (IY+$)" , 23 , 0 } /* 04 */,
1580 { "LD L,RLC (IY+$)" , 23 , 0 } /* 05 */,
1581 { "RLC (IY+$)" , 23 , 0 } /* 06 */,
1582 { "LD A,RLC (IY+$)" , 23 , 0 } /* 07 */,
1583 { "LD B,RRC (IY+$)" , 23 , 0 } /* 08 */,
1584 { "LD C,RRC (IY+$)" , 23 , 0 } /* 09 */,
1585 { "LD D,RRC (IY+$)" , 23 , 0 } /* 0A */,
1586 { "LD E,RRC (IY+$)" , 23 , 0 } /* 0B */,
1587 { "LD H,RRC (IY+$)" , 23 , 0 } /* 0C */,
1588 { "LD L,RRC (IY+$)" , 23 , 0 } /* 0D */,
1589 { "RRC (IY+$)" , 23 , 0 } /* 0E */,
1590 { "LD A,RRC (IY+$)" , 23 , 0 } /* 0F */,
1591 { "LD B,RL (IY+$)" , 23 , 0 } /* 10 */,
1592 { "LD C,RL (IY+$)" , 23 , 0 } /* 11 */,
1593 { "LD D,RL (IY+$)" , 23 , 0 } /* 12 */,
1594 { "LD E,RL (IY+$)" , 23 , 0 } /* 13 */,
1595 { "LD H,RL (IY+$)" , 23 , 0 } /* 14 */,
1596 { "LD L,RL (IY+$)" , 23 , 0 } /* 15 */,
1597 { "RL (IY+$)" , 23 , 0 } /* 16 */,
1598 { "LD A,RL (IY+$)" , 23 , 0 } /* 17 */,
1599 { "LD B,RR (IY+$)" , 23 , 0 } /* 18 */,
1600 { "LD C,RR (IY+$)" , 23 , 0 } /* 19 */,
1601 { "LD D,RR (IY+$)" , 23 , 0 } /* 1A */,
1602 { "LD E,RR (IY+$)" , 23 , 0 } /* 1B */,
1603 { "LD H,RR (IY+$)" , 23 , 0 } /* 1C */,
1604 { "LD L,RR (IY+$)" , 23 , 0 } /* 1D */,
1605 { "RR (IY+$)" , 23 , 0 } /* 1E */,
1606 { "LD A,RR (IY+$)" , 23 , 0 } /* 1F */,
1607 { "LD B,SLA (IY+$)" , 23 , 0 } /* 20 */,
1608 { "LD C,SLA (IY+$)" , 23 , 0 } /* 21 */,
1609 { "LD D,SLA (IY+$)" , 23 , 0 } /* 22 */,
1610 { "LD E,SLA (IY+$)" , 23 , 0 } /* 23 */,
1611 { "LD H,SLA (IY+$)" , 23 , 0 } /* 24 */,
1612 { "LD L,SLA (IY+$)" , 23 , 0 } /* 25 */,
1613 { "SLA (IY+$)" , 23 , 0 } /* 26 */,
1614 { "LD A,SLA (IY+$)" , 23 , 0 } /* 27 */,
1615 { "LD B,SRA (IY+$)" , 23 , 0 } /* 28 */,
1616 { "LD C,SRA (IY+$)" , 23 , 0 } /* 29 */,
1617 { "LD D,SRA (IY+$)" , 23 , 0 } /* 2A */,
1618 { "LD E,SRA (IY+$)" , 23 , 0 } /* 2B */,
1619 { "LD H,SRA (IY+$)" , 23 , 0 } /* 2C */,
1620 { "LD L,SRA (IY+$)" , 23 , 0 } /* 2D */,
1621 { "SRA (IY+$)" , 23 , 0 } /* 2E */,
1622 { "LD A,SRA (IY+$)" , 23 , 0 } /* 2F */,
1623 { "LD B,SLL (IY+$)" , 23 , 0 } /* 30 */,
1624 { "LD C,SLL (IY+$)" , 23 , 0 } /* 31 */,
1625 { "LD D,SLL (IY+$)" , 23 , 0 } /* 32 */,
1626 { "LD E,SLL (IY+$)" , 23 , 0 } /* 33 */,
1627 { "LD H,SLL (IY+$)" , 23 , 0 } /* 34 */,
1628 { "LD L,SLL (IY+$)" , 23 , 0 } /* 35 */,
1629 { "SLL (IY+$)" , 23 , 0 } /* 36 */,
1630 { "LD A,SLL (IY+$)" , 23 , 0 } /* 37 */,
1631 { "LD B,SRL (IY+$)" , 23 , 0 } /* 38 */,
1632 { "LD C,SRL (IY+$)" , 23 , 0 } /* 39 */,
1633 { "LD D,SRL (IY+$)" , 23 , 0 } /* 3A */,
1634 { "LD E,SRL (IY+$)" , 23 , 0 } /* 3B */,
1635 { "LD H,SRL (IY+$)" , 23 , 0 } /* 3C */,
1636 { "LD L,SRL (IY+$)" , 23 , 0 } /* 3D */,
1637 { "SRL (IY+$)" , 23 , 0 } /* 3E */,
1638 { "LD A,SRL (IY+$)" , 23 , 0 } /* 3F */,
1639 { "BIT 0,(IY+$)" , 20 , 0 } /* 40 */,
1640 { "BIT 0,(IY+$)" , 20 , 0 } /* 41 */,
1641 { "BIT 0,(IY+$)" , 20 , 0 } /* 42 */,
1642 { "BIT 0,(IY+$)" , 20 , 0 } /* 43 */,
1643 { "BIT 0,(IY+$)" , 20 , 0 } /* 44 */,
1644 { "BIT 0,(IY+$)" , 20 , 0 } /* 45 */,
1645 { "BIT 0,(IY+$)" , 20 , 0 } /* 46 */,
1646 { "BIT 0,(IY+$)" , 20 , 0 } /* 47 */,
1647 { "BIT 1,(IY+$)" , 20 , 0 } /* 48 */,
1648 { "BIT 1,(IY+$)" , 20 , 0 } /* 49 */,
1649 { "BIT 1,(IY+$)" , 20 , 0 } /* 4A */,
1650 { "BIT 1,(IY+$)" , 20 , 0 } /* 4B */,
1651 { "BIT 1,(IY+$)" , 20 , 0 } /* 4C */,
1652 { "BIT 1,(IY+$)" , 20 , 0 } /* 4D */,
1653 { "BIT 1,(IY+$)" , 20 , 0 } /* 4E */,
1654 { "BIT 1,(IY+$)" , 20 , 0 } /* 4F */,
1655 { "BIT 2,(IY+$)" , 20 , 0 } /* 50 */,
1656 { "BIT 2,(IY+$)" , 20 , 0 } /* 51 */,
1657 { "BIT 2,(IY+$)" , 20 , 0 } /* 52 */,
1658 { "BIT 2,(IY+$)" , 20 , 0 } /* 53 */,
1659 { "BIT 2,(IY+$)" , 20 , 0 } /* 54 */,
1660 { "BIT 2,(IY+$)" , 20 , 0 } /* 55 */,
1661 { "BIT 2,(IY+$)" , 20 , 0 } /* 56 */,
1662 { "BIT 2,(IY+$)" , 20 , 0 } /* 57 */,
1663 { "BIT 3,(IY+$)" , 20 , 0 } /* 58 */,
1664 { "BIT 3,(IY+$)" , 20 , 0 } /* 59 */,
1665 { "BIT 3,(IY+$)" , 20 , 0 } /* 5A */,
1666 { "BIT 3,(IY+$)" , 20 , 0 } /* 5B */,
1667 { "BIT 3,(IY+$)" , 20 , 0 } /* 5C */,
1668 { "BIT 3,(IY+$)" , 20 , 0 } /* 5D */,
1669 { "BIT 3,(IY+$)" , 20 , 0 } /* 5E */,
1670 { "BIT 3,(IY+$)" , 20 , 0 } /* 5F */,
1671 { "BIT 4,(IY+$)" , 20 , 0 } /* 60 */,
1672 { "BIT 4,(IY+$)" , 20 , 0 } /* 61 */,
1673 { "BIT 4,(IY+$)" , 20 , 0 } /* 62 */,
1674 { "BIT 4,(IY+$)" , 20 , 0 } /* 63 */,
1675 { "BIT 4,(IY+$)" , 20 , 0 } /* 64 */,
1676 { "BIT 4,(IY+$)" , 20 , 0 } /* 65 */,
1677 { "BIT 4,(IY+$)" , 20 , 0 } /* 66 */,
1678 { "BIT 4,(IY+$)" , 20 , 0 } /* 67 */,
1679 { "BIT 5,(IY+$)" , 20 , 0 } /* 68 */,
1680 { "BIT 5,(IY+$)" , 20 , 0 } /* 69 */,
1681 { "BIT 5,(IY+$)" , 20 , 0 } /* 6A */,
1682 { "BIT 5,(IY+$)" , 20 , 0 } /* 6B */,
1683 { "BIT 5,(IY+$)" , 20 , 0 } /* 6C */,
1684 { "BIT 5,(IY+$)" , 20 , 0 } /* 6D */,
1685 { "BIT 5,(IY+$)" , 20 , 0 } /* 6E */,
1686 { "BIT 5,(IY+$)" , 20 , 0 } /* 6F */,
1687 { "BIT 6,(IY+$)" , 20 , 0 } /* 70 */,
1688 { "BIT 6,(IY+$)" , 20 , 0 } /* 71 */,
1689 { "BIT 6,(IY+$)" , 20 , 0 } /* 72 */,
1690 { "BIT 6,(IY+$)" , 20 , 0 } /* 73 */,
1691 { "BIT 6,(IY+$)" , 20 , 0 } /* 74 */,
1692 { "BIT 6,(IY+$)" , 20 , 0 } /* 75 */,
1693 { "BIT 6,(IY+$)" , 20 , 0 } /* 76 */,
1694 { "BIT 6,(IY+$)" , 20 , 0 } /* 77 */,
1695 { "BIT 7,(IY+$)" , 20 , 0 } /* 78 */,
1696 { "BIT 7,(IY+$)" , 20 , 0 } /* 79 */,
1697 { "BIT 7,(IY+$)" , 20 , 0 } /* 7A */,
1698 { "BIT 7,(IY+$)" , 20 , 0 } /* 7B */,
1699 { "BIT 7,(IY+$)" , 20 , 0 } /* 7C */,
1700 { "BIT 7,(IY+$)" , 20 , 0 } /* 7D */,
1701 { "BIT 7,(IY+$)" , 20 , 0 } /* 7E */,
1702 { "BIT 7,(IY+$)" , 20 , 0 } /* 7F */,
1703 { "LD B,RES 0,(IY+$)" , 23 , 0 } /* 80 */,
1704 { "LD C,RES 0,(IY+$)" , 23 , 0 } /* 81 */,
1705 { "LD D,RES 0,(IY+$)" , 23 , 0 } /* 82 */,
1706 { "LD E,RES 0,(IY+$)" , 23 , 0 } /* 83 */,
1707 { "LD H,RES 0,(IY+$)" , 23 , 0 } /* 84 */,
1708 { "LD L,RES 0,(IY+$)" , 23 , 0 } /* 85 */,
1709 { "RES 0,(IY+$)" , 23 , 0 } /* 86 */,
1710 { "LD A,RES 0,(IY+$)" , 23 , 0 } /* 87 */,
1711 { "LD B,RES 1,(IY+$)" , 23 , 0 } /* 88 */,
1712 { "LD C,RES 1,(IY+$)" , 23 , 0 } /* 89 */,
1713 { "LD D,RES 1,(IY+$)" , 23 , 0 } /* 8A */,
1714 { "LD E,RES 1,(IY+$)" , 23 , 0 } /* 8B */,
1715 { "LD H,RES 1,(IY+$)" , 23 , 0 } /* 8C */,
1716 { "LD L,RES 1,(IY+$)" , 23 , 0 } /* 8D */,
1717 { "RES 1,(IY+$)" , 23 , 0 } /* 8E */,
1718 { "LD A,RES 1,(IY+$)" , 23 , 0 } /* 8F */,
1719 { "LD B,RES 2,(IY+$)" , 23 , 0 } /* 90 */,
1720 { "LD C,RES 2,(IY+$)" , 23 , 0 } /* 91 */,
1721 { "LD D,RES 2,(IY+$)" , 23 , 0 } /* 92 */,
1722 { "LD E,RES 2,(IY+$)" , 23 , 0 } /* 93 */,
1723 { "LD H,RES 2,(IY+$)" , 23 , 0 } /* 94 */,
1724 { "LD L,RES 2,(IY+$)" , 23 , 0 } /* 95 */,
1725 { "RES 2,(IY+$)" , 23 , 0 } /* 96 */,
1726 { "LD A,RES 2,(IY+$)" , 23 , 0 } /* 97 */,
1727 { "LD B,RES 3,(IY+$)" , 23 , 0 } /* 98 */,
1728 { "LD C,RES 3,(IY+$)" , 23 , 0 } /* 99 */,
1729 { "LD D,RES 3,(IY+$)" , 23 , 0 } /* 9A */,
1730 { "LD E,RES 3,(IY+$)" , 23 , 0 } /* 9B */,
1731 { "LD H,RES 3,(IY+$)" , 23 , 0 } /* 9C */,
1732 { "LD L,RES 3,(IY+$)" , 23 , 0 } /* 9D */,
1733 { "RES 3,(IY+$)" , 23 , 0 } /* 9E */,
1734 { "LD A,RES 3,(IY+$)" , 23 , 0 } /* 9F */,
1735 { "LD B,RES 4,(IY+$)" , 23 , 0 } /* A0 */,
1736 { "LD C,RES 4,(IY+$)" , 23 , 0 } /* A1 */,
1737 { "LD D,RES 4,(IY+$)" , 23 , 0 } /* A2 */,
1738 { "LD E,RES 4,(IY+$)" , 23 , 0 } /* A3 */,
1739 { "LD H,RES 4,(IY+$)" , 23 , 0 } /* A4 */,
1740 { "LD L,RES 4,(IY+$)" , 23 , 0 } /* A5 */,
1741 { "RES 4,(IY+$)" , 23 , 0 } /* A6 */,
1742 { "LD A,RES 4,(IY+$)" , 23 , 0 } /* A7 */,
1743 { "LD B,RES 5,(IY+$)" , 23 , 0 } /* A8 */,
1744 { "LD C,RES 5,(IY+$)" , 23 , 0 } /* A9 */,
1745 { "LD D,RES 5,(IY+$)" , 23 , 0 } /* AA */,
1746 { "LD E,RES 5,(IY+$)" , 23 , 0 } /* AB */,
1747 { "LD H,RES 5,(IY+$)" , 23 , 0 } /* AC */,
1748 { "LD L,RES 5,(IY+$)" , 23 , 0 } /* AD */,
1749 { "RES 5,(IY+$)" , 23 , 0 } /* AE */,
1750 { "LD A,RES 5,(IY+$)" , 23 , 0 } /* AF */,
1751 { "LD B,RES 6,(IY+$)" , 23 , 0 } /* B0 */,
1752 { "LD C,RES 6,(IY+$)" , 23 , 0 } /* B1 */,
1753 { "LD D,RES 6,(IY+$)" , 23 , 0 } /* B2 */,
1754 { "LD E,RES 6,(IY+$)" , 23 , 0 } /* B3 */,
1755 { "LD H,RES 6,(IY+$)" , 23 , 0 } /* B4 */,
1756 { "LD L,RES 6,(IY+$)" , 23 , 0 } /* B5 */,
1757 { "RES 6,(IY+$)" , 23 , 0 } /* B6 */,
1758 { "LD A,RES 6,(IY+$)" , 23 , 0 } /* B7 */,
1759 { "LD B,RES 7,(IY+$)" , 23 , 0 } /* B8 */,
1760 { "LD C,RES 7,(IY+$)" , 23 , 0 } /* B9 */,
1761 { "LD D,RES 7,(IY+$)" , 23 , 0 } /* BA */,
1762 { "LD E,RES 7,(IY+$)" , 23 , 0 } /* BB */,
1763 { "LD H,RES 7,(IY+$)" , 23 , 0 } /* BC */,
1764 { "LD L,RES 7,(IY+$)" , 23 , 0 } /* BD */,
1765 { "RES 7,(IY+$)" , 23 , 0 } /* BE */,
1766 { "LD A,RES 7,(IY+$)" , 23 , 0 } /* BF */,
1767 { "LD B,SET 0,(IY+$)" , 23 , 0 } /* C0 */,
1768 { "LD C,SET 0,(IY+$)" , 23 , 0 } /* C1 */,
1769 { "LD D,SET 0,(IY+$)" , 23 , 0 } /* C2 */,
1770 { "LD E,SET 0,(IY+$)" , 23 , 0 } /* C3 */,
1771 { "LD H,SET 0,(IY+$)" , 23 , 0 } /* C4 */,
1772 { "LD L,SET 0,(IY+$)" , 23 , 0 } /* C5 */,
1773 { "SET 0,(IY+$)" , 23 , 0 } /* C6 */,
1774 { "LD A,SET 0,(IY+$)" , 23 , 0 } /* C7 */,
1775 { "LD B,SET 1,(IY+$)" , 23 , 0 } /* C8 */,
1776 { "LD C,SET 1,(IY+$)" , 23 , 0 } /* C9 */,
1777 { "LD D,SET 1,(IY+$)" , 23 , 0 } /* CA */,
1778 { "LD E,SET 1,(IY+$)" , 23 , 0 } /* CB */,
1779 { "LD H,SET 1,(IY+$)" , 23 , 0 } /* CC */,
1780 { "LD L,SET 1,(IY+$)" , 23 , 0 } /* CD */,
1781 { "SET 1,(IY+$)" , 23 , 0 } /* CE */,
1782 { "LD A,SET 1,(IY+$)" , 23 , 0 } /* CF */,
1783 { "LD B,SET 2,(IY+$)" , 23 , 0 } /* D0 */,
1784 { "LD C,SET 2,(IY+$)" , 23 , 0 } /* D1 */,
1785 { "LD D,SET 2,(IY+$)" , 23 , 0 } /* D2 */,
1786 { "LD E,SET 2,(IY+$)" , 23 , 0 } /* D3 */,
1787 { "LD H,SET 2,(IY+$)" , 23 , 0 } /* D4 */,
1788 { "LD L,SET 2,(IY+$)" , 23 , 0 } /* D5 */,
1789 { "SET 2,(IY+$)" , 23 , 0 } /* D6 */,
1790 { "LD A,SET 2,(IY+$)" , 23 , 0 } /* D7 */,
1791 { "LD B,SET 3,(IY+$)" , 23 , 0 } /* D8 */,
1792 { "LD C,SET 3,(IY+$)" , 23 , 0 } /* D9 */,
1793 { "LD D,SET 3,(IY+$)" , 23 , 0 } /* DA */,
1794 { "LD E,SET 3,(IY+$)" , 23 , 0 } /* DB */,
1795 { "LD H,SET 3,(IY+$)" , 23 , 0 } /* DC */,
1796 { "LD L,SET 3,(IY+$)" , 23 , 0 } /* DD */,
1797 { "SET 3,(IY+$)" , 23 , 0 } /* DE */,
1798 { "LD A,SET 3,(IY+$)" , 23 , 0 } /* DF */,
1799 { "LD B,SET 4,(IY+$)" , 23 , 0 } /* E0 */,
1800 { "LD C,SET 4,(IY+$)" , 23 , 0 } /* E1 */,
1801 { "LD D,SET 4,(IY+$)" , 23 , 0 } /* E2 */,
1802 { "LD E,SET 4,(IY+$)" , 23 , 0 } /* E3 */,
1803 { "LD H,SET 4,(IY+$)" , 23 , 0 } /* E4 */,
1804 { "LD L,SET 4,(IY+$)" , 23 , 0 } /* E5 */,
1805 { "SET 4,(IY+$)" , 23 , 0 } /* E6 */,
1806 { "LD A,SET 4,(IY+$)" , 23 , 0 } /* E7 */,
1807 { "LD B,SET 5,(IY+$)" , 23 , 0 } /* E8 */,
1808 { "LD C,SET 5,(IY+$)" , 23 , 0 } /* E9 */,
1809 { "LD D,SET 5,(IY+$)" , 23 , 0 } /* EA */,
1810 { "LD E,SET 5,(IY+$)" , 23 , 0 } /* EB */,
1811 { "LD H,SET 5,(IY+$)" , 23 , 0 } /* EC */,
1812 { "LD L,SET 5,(IY+$)" , 23 , 0 } /* ED */,
1813 { "SET 5,(IY+$)" , 23 , 0 } /* EE */,
1814 { "LD A,SET 5,(IY+$)" , 23 , 0 } /* EF */,
1815 { "LD B,SET 6,(IY+$)" , 23 , 0 } /* F0 */,
1816 { "LD C,SET 6,(IY+$)" , 23 , 0 } /* F1 */,
1817 { "LD D,SET 6,(IY+$)" , 23 , 0 } /* F2 */,
1818 { "LD E,SET 6,(IY+$)" , 23 , 0 } /* F3 */,
1819 { "LD H,SET 6,(IY+$)" , 23 , 0 } /* F4 */,
1820 { "LD L,SET 6,(IY+$)" , 23 , 0 } /* F5 */,
1821 { "SET 6,(IY+$)" , 23 , 0 } /* F6 */,
1822 { "LD A,SET 6,(IY+$)" , 23 , 0 } /* F7 */,
1823 { "LD B,SET 7,(IY+$)" , 23 , 0 } /* F8 */,
1824 { "LD C,SET 7,(IY+$)" , 23 , 0 } /* F9 */,
1825 { "LD D,SET 7,(IY+$)" , 23 , 0 } /* FA */,
1826 { "LD E,SET 7,(IY+$)" , 23 , 0 } /* FB */,
1827 { "LD H,SET 7,(IY+$)" , 23 , 0 } /* FC */,
1828 { "LD L,SET 7,(IY+$)" , 23 , 0 } /* FD */,
1829 { "SET 7,(IY+$)" , 23 , 0 } /* FE */,
1830 { "LD A,SET 7,(IY+$)" , 23 , 0 } /* FF */
1831 
1832 };
z80ex_opc_dasm
Definition: z80ex_dasm.c:26